0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SystemVerilog中的package

芯片驗證工程師 ? 來源:芯片驗證工程師 ? 作者:芯片驗證工程師 ? 2022-11-07 09:44 ? 次閱讀

SystemVerilog packages提供了對于許多不同數(shù)據(jù)類型的封裝,包括變量、task、function、assertion等等,以至于可以在多個module中共享。

簡單來說,package就是一個命名空間。

 package myPack;
 typedef struct {
 int i;
 int j;
 } cStruct;
 function cStruct add (cStruct a , b);
 add.i = a.i + b.i;
 add.j = a.j + b.j;
 endfunction
 endpackage
 
 module top (
 //referencing package item 'cStruct' of myPack
 output myPack::cStruct cout,
 input myPack::cStruct a , b
 );
 //referencing 'add' function of myPack
 assign cout = myPack::add(a , b);
 endmodule

在上面的例子中,我們聲明了一個package “myPack” ,其中聲明了一個struct數(shù)據(jù)類型“cStruct”和一個function “add.” 在module “top”中,我們使用package中的“cStruct”數(shù)據(jù)類型作為module的輸入輸出。

我們在引用package中成員使用了:

myPack::**

另外一種方式就是import了,import之后就無需在引用package中的成員時使用“myPack::”

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109713
  • System
    +關(guān)注

    關(guān)注

    0

    文章

    163

    瀏覽量

    36744
  • Package
    +關(guān)注

    關(guān)注

    0

    文章

    26

    瀏覽量

    10417

原文標(biāo)題:SystemVerilog中的package

文章出處:【微信號:芯片驗證工程師,微信公眾號:芯片驗證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    SystemVerilog的Virtual Methods

    SystemVerilog多態(tài)能夠工作的前提是父類的方法被聲明為virtual的。
    發(fā)表于 11-28 11:12 ?626次閱讀

    SystemVerilog的“const”類屬性

    SystemVerilog可以將類屬性聲明為常量,即“只讀”。目的就是希望,別人可以讀但是不能修改它的值。
    發(fā)表于 11-29 10:25 ?2007次閱讀

    看一下SystemVerilogpackage的使用方法與注意事項

    談到package,用過VHDL的工程師并不陌生。實際上,SystemVerilogpackage正是從VHDL引入的,以進一步增強其在系統(tǒng)級的描述能力。
    的頭像 發(fā)表于 10-07 11:33 ?1820次閱讀
    看一下<b class='flag-5'>SystemVerilog</b><b class='flag-5'>中</b><b class='flag-5'>package</b>的使用方法與注意事項

    SystemVerilog的聯(lián)合(union)介紹

    SystemVerilog ,聯(lián)合只是信號,可通過不同名稱和縱橫比來加以引用。
    的頭像 發(fā)表于 10-08 15:45 ?1090次閱讀
    <b class='flag-5'>SystemVerilog</b><b class='flag-5'>中</b>的聯(lián)合(union)介紹

    請問Systemverilog如何使用VHDL的package?

    現(xiàn)在需要使用system verilog寫代碼,但是想復(fù)用之前VHDL的package,里面有寫的現(xiàn)成的function等,請問如何調(diào)用呢??偸菆箦e說找不到_pkg
    發(fā)表于 03-12 15:37

    SystemVerilog的斷言手冊

    SystemVerilog Assertion Handbook1 ROLE OF SYSTEMVERILOG ASSERTIONSIN A VERIFICATION METHODOLOGY
    發(fā)表于 07-22 14:12 ?20次下載

    SystemVerilog for Design(Secon

    Chapter 1: Introduction to SystemVerilogChapter 2: SystemVerilog Declaration SpacesExample 2-1: A
    發(fā)表于 07-22 14:45 ?0次下載

    SystemVerilog$cast的應(yīng)用

    SystemVerilog casting意味著將一種數(shù)據(jù)類型轉(zhuǎn)換為另一種數(shù)據(jù)類型。在將一個變量賦值給另一個變量時,SystemVerilog要求這兩個變量具有相同的數(shù)據(jù)類型。
    的頭像 發(fā)表于 10-17 14:35 ?2580次閱讀

    SystemVerilog的操作方法

    SystemVerilog提供了幾個內(nèi)置方法來支持數(shù)組搜索、排序等功能。
    的頭像 發(fā)表于 10-31 10:10 ?2500次閱讀

    SystemVerilog可以嵌套的數(shù)據(jù)結(jié)構(gòu)

    SystemVerilog除了數(shù)組、隊列和關(guān)聯(lián)數(shù)組等數(shù)據(jù)結(jié)構(gòu),這些數(shù)據(jù)結(jié)構(gòu)還可以嵌套。
    的頭像 發(fā)表于 11-03 09:59 ?1465次閱讀

    SystemVerilog的struct

    SystemVerilog“struct”表示相同或不同數(shù)據(jù)類型的集合。
    的頭像 發(fā)表于 11-07 10:18 ?2226次閱讀

    SystemVerilogpackage和`include有什么不同?

    肯定很多人會問為什么有的地方使用package,有的地方使用`include,二者是不是等價的呢?
    的頭像 發(fā)表于 11-14 10:53 ?1555次閱讀

    SystemVerilog的Shallow Copy

    SystemVerilog的句柄賦值和對象復(fù)制的概念是有區(qū)別的。
    的頭像 發(fā)表于 11-21 10:32 ?771次閱讀

    SystemVerilog的Semaphores

    SystemVerilogSemaphore(旗語)是一個多個進程之間同步的機制之一,這里需要同步的原因是這多個進程共享某些資源。
    的頭像 發(fā)表于 12-12 09:50 ?3081次閱讀

    Systemverilog的Driving Strength講解

    systemverilog,net用于對電路連線進行建模,driving strength(驅(qū)動強度)可以讓net變量值的建模更加精確。
    的頭像 發(fā)表于 06-14 15:50 ?1259次閱讀
    <b class='flag-5'>Systemverilog</b><b class='flag-5'>中</b>的Driving Strength講解