0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SystemVerilog中的Packed Structure

芯片驗證工程師 ? 來源:芯片驗證工程師 ? 作者:芯片驗證工程師 ? 2022-11-07 10:17 ? 次閱讀

一個packed structure有很多的bits組成,這些bit在物理上連續(xù)存儲。packed structure只允許包含packed數(shù)據(jù)類型。

 struct packed signed {
 byte BE; //2-state
 int addr; //2-state
 int data; //2-state
 } pStruct; //signed, 2-state

在上面的例子中,我們顯式地將packed struct聲明為“signed”。

如果一個packed structure中的所有數(shù)據(jù)類型都是2-state,structure作為一個整體被視為一個2-state向量。

如果一個packed structure中的存在一個數(shù)據(jù)的數(shù)據(jù)類型是4-state,structure作為一個整體被視為一個4-state向量。

一個unsigned structure示例:

 struct packed unsigned {
 integer addr; //4-state
 logic [31:0] data; //4-state
 int burst; //2-state
 } upStruct; //unsigned, 4-state

在上面的例子中,成員中存在4-state變量,所以整個結(jié)構(gòu)體被視為一個4-state變量。

module SU;
struct packed {
bit [7:0] intr; //packed struct
logic [23:0] addr;
} SUR;
initial begin
SUR.intr = 'hFF;
$display($stime,,, "SUR = %h",SUR);
$display($stime,,, "SUR Intr = %h",SUR.intr);
//Assign by position
SUR = '{'h00,'hFF_FF_FF};
$display($stime,,, "SUR = %h",SUR);
//Assign by name
SUR = '{intr:'h01, addr:'hf0f0f0};
$display($stime,,, "SUR = %h",SUR);
//Assign default
SUR = '{default:'h123456};
$display($stime,,, "SUR = %h",SUR);//Assign default
SUR = '{default:'h78};
$display($stime,,, "SUR = %h",SUR);
SUR = 0;
SUR = SUR+'h12; //Arithmetic operation.
// packed structure can be used as a vector
$display($stime,,, "SUR = %h",SUR);
end
endmodule

仿真log:

 0 SUR = ffxxxxxx
 0 SUR Intr = ff
 0 SUR = 00ffffff
 0 SUR = 01f0f0f0
 0 SUR = 56123456
 0 SUR = 78000078
 0 SUR = 00000012
 V C S S i m u l a t i o n R e p o r t

在這個例子中,我們給結(jié)構(gòu)體中的單個成員賦值(通過名稱或者位置索引),也可以將結(jié)構(gòu)體作為一個整體賦值和算術(shù)運算。

給整個結(jié)構(gòu)體賦值需要使用‘{…},這個{}在這里不是連接的含義,而是結(jié)構(gòu)體中各個成員的集合。

下面是一個packed結(jié)構(gòu)體,以及其在內(nèi)存中的存放示意圖。

struct packed {
 logic frame_;
 logic [15:0] address;
 logic [31:0] data;
 } control;

7cafcc7c-5d25-11ed-a3b6-dac502259ad0.png

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109718
  • System
    +關(guān)注

    關(guān)注

    0

    文章

    163

    瀏覽量

    36744
  • 結(jié)構(gòu)體
    +關(guān)注

    關(guān)注

    1

    文章

    127

    瀏覽量

    10800

原文標題:SystemVerilog中的Packed Structure

文章出處:【微信號:芯片驗證工程師,微信公眾號:芯片驗證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    SystemVerilog 各種不同的聯(lián)合解析

    聯(lián)合分兩種類型:打包 (packed) 和解包 (unpacked)。在上述示例,我們指定的是打包聯(lián)合。
    的頭像 發(fā)表于 11-19 15:16 ?1999次閱讀
    <b class='flag-5'>SystemVerilog</b> <b class='flag-5'>中</b>各種不同的聯(lián)合解析

    SystemVerilog的Virtual Methods

    SystemVerilog多態(tài)能夠工作的前提是父類的方法被聲明為virtual的。
    發(fā)表于 11-28 11:12 ?626次閱讀

    SystemVerilog的“const”類屬性

    SystemVerilog可以將類屬性聲明為常量,即“只讀”。目的就是希望,別人可以讀但是不能修改它的值。
    發(fā)表于 11-29 10:25 ?2007次閱讀

    SystemVerilog的聯(lián)合(union)介紹

    SystemVerilog ,聯(lián)合只是信號,可通過不同名稱和縱橫比來加以引用。
    的頭像 發(fā)表于 10-08 15:45 ?1094次閱讀
    <b class='flag-5'>SystemVerilog</b><b class='flag-5'>中</b>的聯(lián)合(union)介紹

    基于事件結(jié)構(gòu)的SystemVerilog指稱語義

    本文利用形式化的方法對SystemVerilog的指稱語義進行研究,采用EBES(extendedbundle event structure)作為抽象模型,以便更好的描述SystemVerilog真并發(fā)的特點。我們的主要工作是
    發(fā)表于 12-22 14:01 ?12次下載

    SpinalHDLBundle數(shù)據(jù)類型的轉(zhuǎn)換

    SpinalHDLBundle與SystemVerilogpacked struct很像,在某些場景下,與普通數(shù)據(jù)類型之間的連接賦值可以通過asBits,assignFromBi
    的頭像 發(fā)表于 10-17 09:51 ?1190次閱讀

    SystemVerilog$cast的應(yīng)用

    SystemVerilog casting意味著將一種數(shù)據(jù)類型轉(zhuǎn)換為另一種數(shù)據(jù)類型。在將一個變量賦值給另一個變量時,SystemVerilog要求這兩個變量具有相同的數(shù)據(jù)類型。
    的頭像 發(fā)表于 10-17 14:35 ?2584次閱讀

    unpacked數(shù)組和packed數(shù)組的主要區(qū)別

    unpacked數(shù)組和packed數(shù)組的主要區(qū)別是unpacked數(shù)組在物理存儲時不能保證連續(xù),而packed數(shù)組則能保證在物理上連續(xù)存儲。
    的頭像 發(fā)表于 10-18 09:13 ?2503次閱讀

    SystemVerilog可以嵌套的數(shù)據(jù)結(jié)構(gòu)

    SystemVerilog除了數(shù)組、隊列和關(guān)聯(lián)數(shù)組等數(shù)據(jù)結(jié)構(gòu),這些數(shù)據(jù)結(jié)構(gòu)還可以嵌套。
    的頭像 發(fā)表于 11-03 09:59 ?1465次閱讀

    SystemVerilog的struct

    SystemVerilog“struct”表示相同或不同數(shù)據(jù)類型的集合。
    的頭像 發(fā)表于 11-07 10:18 ?2229次閱讀

    SystemVerilogPacked Union

    packed union相比unpacked union最大的一個區(qū)別就是,在packed union,所有成員的大小必須相同,這就保證了不管union存儲了哪一個成員,最終這個u
    的頭像 發(fā)表于 11-12 09:05 ?994次閱讀

    SystemVerilog的Shallow Copy

    SystemVerilog的句柄賦值和對象復(fù)制的概念是有區(qū)別的。
    的頭像 發(fā)表于 11-21 10:32 ?771次閱讀

    SystemVerilog的Semaphores

    SystemVerilogSemaphore(旗語)是一個多個進程之間同步的機制之一,這里需要同步的原因是這多個進程共享某些資源。
    的頭像 發(fā)表于 12-12 09:50 ?3091次閱讀

    SystemVerilog至關(guān)重要的結(jié)構(gòu)體和自定義類型

    在上一篇文章《SystemVerilog至關(guān)重要的的數(shù)據(jù)類型》,介紹了枚舉類型的本質(zhì)和使用語法。本文接著介紹SV同樣不可忽略的結(jié)構(gòu)體(stru
    的頭像 發(fā)表于 01-21 17:18 ?1813次閱讀
    <b class='flag-5'>SystemVerilog</b><b class='flag-5'>中</b>至關(guān)重要的結(jié)構(gòu)體和自定義類型

    Systemverilog的Driving Strength講解

    systemverilog,net用于對電路連線進行建模,driving strength(驅(qū)動強度)可以讓net變量值的建模更加精確。
    的頭像 發(fā)表于 06-14 15:50 ?1262次閱讀
    <b class='flag-5'>Systemverilog</b><b class='flag-5'>中</b>的Driving Strength講解