0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

MIL-STD-1553 IP核挑戰(zhàn)傳統(tǒng)IC實(shí)施

星星科技指導(dǎo)員 ? 來源:嵌入式計(jì)算設(shè)計(jì) ? 作者:MARC FOSTER ? 2022-11-08 14:53 ? 次閱讀

自發(fā)布以來的四十年中,MIL-STD-1553正在從傳統(tǒng)的集成電路IC)發(fā)展到與現(xiàn)場可編程門陣列(FPGA)集成的知識(shí)產(chǎn)權(quán)(IP)內(nèi)核。IP 核實(shí)施的優(yōu)勢包括降低成本、隨著時(shí)間的推移升級和調(diào)整設(shè)計(jì)的能力、更小的尺寸占用空間以及改進(jìn)的采購。選擇 IP 核的設(shè)計(jì)人員必須考慮驗(yàn)證測試、代碼大小、FPGA 支持以及與傳統(tǒng)軟件的兼容性。

MIL-STD-1553于1973年推出,是一種雙冗余串行總線,廣泛用于航空電子和空間應(yīng)用。1553最初用于F-16,以1 Mbps的數(shù)據(jù)速率將總線控制器(BC)連接到多達(dá)31個(gè)遠(yuǎn)程終端(RT)設(shè)備。

1553年早期的設(shè)計(jì)相當(dāng)復(fù)雜:BC和RT單元使用變壓器連接到處理器的總線,而收發(fā)器模擬信號(hào)轉(zhuǎn)換為數(shù)字信號(hào)。數(shù)字控制器將數(shù)字信號(hào)轉(zhuǎn)換為曼徹斯特代碼,根據(jù)1553規(guī)范管理接收和傳輸數(shù)據(jù)的整個(gè)過程。

大約 15 年后,第一個(gè)門陣列專用集成電路 (ASIC) 被生產(chǎn)出來,提供可以處理 1553 板整個(gè)數(shù)字部分的單個(gè)芯片。大約在同一時(shí)間,模擬收發(fā)器從分立器件壓縮到單個(gè)模塊中。接下來,幾家公司創(chuàng)建了混合電路,將所需的數(shù)字和模擬部件集成到單個(gè)IC中。一旦推出,這些單混合信號(hào)IC作為1553通信的解決方案主導(dǎo)了市場。

快進(jìn)到今天:MIL-STD-1553中的最新新興技術(shù)是IP核。1553 IP 核與其他用戶邏輯集成到 FPGA 中,為設(shè)計(jì)人員提供了優(yōu)于傳統(tǒng) 1553 IC 的眾多優(yōu)勢。

IP 核的優(yōu)勢

成本更低

將 1553 功能嵌入到 FPGA 中,滿足其他設(shè)計(jì)要求,可顯著節(jié)省成本。除了FPGA的成本外,每個(gè)1553節(jié)點(diǎn)的增量價(jià)格只是模擬收發(fā)器和IP核使用許可證的成本。由于模擬收發(fā)器供應(yīng)商眾多,因此定價(jià)具有競爭力,這種架構(gòu)可以以適量的價(jià)格將 1553 節(jié)點(diǎn)價(jià)格降低 50% 以上的成本。

升級能力

一旦將1553 IC焊接到電路板上,器件的功能就無法改變。由于 FPGA 可以重新編程,因此 1553 功能可以增強(qiáng)、修改,甚至在需要時(shí)替換為新的 IP 核。這種架構(gòu)還允許各種總線器件配置,例如一個(gè)、兩個(gè)或多個(gè)通道,甚至不同的接口類型,例如WB-194或H009,而無需對FPGA技術(shù)或PCB硬件進(jìn)行任何更改。FPGA 使升級變得簡單,因?yàn)樗鼈兛梢栽诂F(xiàn)場重新編程 - 在某些情況下甚至可以通過 1553 總線。

更少的電路板空間

IP核通常消耗普通FPGA的2%至15%,通常使其能夠集成到已經(jīng)處理特定設(shè)計(jì)中其他功能的FPGA中。在這種情況下,只需要一個(gè)額外的小型模擬接收器即可實(shí)現(xiàn)1553,從而減小了PCB所需的尺寸。圖 1(第 32 頁)顯示了一個(gè) PCI 夾層卡 (PMC),它將 8 個(gè) 1553 個(gè)通道封裝在 74 mm x 143 mm 的封裝中。

提交前輕松評估

IP 供應(yīng)商可根據(jù)要求快速提供免費(fèi)的 IP 核評估,并且可以在為 PCB 布線單個(gè)走線之前評估和模擬所有功能。這些示例可能包括內(nèi)核的有限版本,允許完整內(nèi)核中包含的 95% 的功能。設(shè)計(jì)人員可以檢查仿真,集成有限的IP核,并在實(shí)驗(yàn)室中測試行為,這將降低風(fēng)險(xiǎn)、成本和設(shè)計(jì)時(shí)間。

面向未來的設(shè)計(jì)

IP 核不是特定于 FPGA 的,如果第一個(gè) FPGA 部件過時(shí),可以將該核移動(dòng)到不同的 FPGA 部件。這種兼容性使用戶能夠輕松更新其電路板和FPGA器件,同時(shí)保持經(jīng)過驗(yàn)證的功能。

消除單一來源

每個(gè) 1553 IC 都具有獨(dú)特的接口和功能,因此幾乎不可能輕松更換供應(yīng)商的器件,因?yàn)樗枰匦略O(shè)計(jì)硬件和軟件。擁有唯一的來源會(huì)增加價(jià)格、可用性和過時(shí)問題。IP 核實(shí)現(xiàn)消除了這些問題。一旦IP核授權(quán)給客戶,供應(yīng)鏈就會(huì)得到簡化。客戶將EDIF網(wǎng)表形式的IP核集成到FPGA中,并從各種分配源自行采購FPGA,從而消除了對1553 IC供應(yīng)商的依賴。

選擇 IP 核時(shí)的重要考慮因素

MIL-STD-1553 IP核可從多家公司獲得,正如您所料,性能和質(zhì)量可能會(huì)有所不同。為了為其特定應(yīng)用選擇最佳解決方案,設(shè)計(jì)人員應(yīng)比較 1553 個(gè) IP 核的關(guān)鍵屬性。

第一個(gè)是 1553 驗(yàn)證測試。需要完整的 1553 驗(yàn)證測試來證明 IP 核是否符合 MIL-STD-1553 電氣和軟件要求。選擇已通過第三方測試批準(zhǔn)的IP核將防止項(xiàng)目后期出現(xiàn)意外和延遲。另一個(gè)需要考慮的是小代碼大小。如前所述,與IC相比,IP核的優(yōu)勢之一是IP核可以駐留在FPGA中,F(xiàn)PGA也可以執(zhí)行其他功能。為了在保持FPGA成本合理的同時(shí)為這種附加功能留出空間,IP核需要最少的FPGA資源。

支持一系列 FPGA 供應(yīng)商和系列

設(shè)計(jì)人員的另一個(gè)考慮因素是,IP 核應(yīng)適合任何 FPGA 供應(yīng)商和系列。FPGA 系列的范圍從通用到具有特定特性(如抗輻射性、低功耗、非易失性和高內(nèi)存量)的器件。設(shè)計(jì)人員可以為其應(yīng)用選擇合適的FPGA,IP供應(yīng)商應(yīng)該能夠?yàn)檫@些器件提供適當(dāng)?shù)木W(wǎng)表。生成網(wǎng)表的VHDL源代碼在代碼風(fēng)格上應(yīng)獨(dú)立于供應(yīng)商,以支持所有FPGA系列。

多個(gè)時(shí)鐘域可能會(huì)在FPGA設(shè)計(jì)中產(chǎn)生開銷,或者在某些情況下會(huì)導(dǎo)致數(shù)據(jù)讀/寫周期錯(cuò)誤。因此,重要的是,IP核支持目標(biāo)板上已有的時(shí)鐘頻率,例如PCI Express(125 MHz)或PCI(33/66 MHz)。

最后一個(gè)考慮因素是與傳統(tǒng)軟件的兼容性。軟件集成是從基于 IC 的設(shè)計(jì)遷移到 IP 核的應(yīng)用的關(guān)鍵考慮因素。在許多情況下,設(shè)計(jì)人員不希望對其現(xiàn)有的工作軟件環(huán)境進(jìn)行更改。IP 核應(yīng)與傳統(tǒng) 1553 IC 軟件兼容,允許設(shè)計(jì)人員以最小的風(fēng)險(xiǎn)將現(xiàn)有的 1553 IC 替換為基于 FPGA 的 IP 核。

專門用于軍事、航空電子設(shè)備的 IP 核

與傳統(tǒng)的 1553 IC 相比,IP 核具有許多優(yōu)勢,包括成本更低、尺寸更小、易于更新、可用性提高和生命周期控制。結(jié)合 FPGA 和 IP 核的優(yōu)勢,為 MIL-STD-1553 接口提供了小尺寸、穩(wěn)健、可靠且面向未來的解決方案,非常適合定制電路板實(shí)施。

與Sital Technology合作,提供MIL-STD-1553 IP核心產(chǎn)品,專為軍事,航空航天和航空電子應(yīng)用而設(shè)計(jì)。用戶可以在各種可用的配置和接口之間進(jìn)行選擇。從小型 1553 前端(專為沒有 CPU 控制系統(tǒng)的簡單應(yīng)用而設(shè)計(jì))到最復(fù)雜的實(shí)現(xiàn)(CPU 使用本地總線或使用 PCIe 或 PCI 總線)。

Sealevel 提供的所有 IP 核均可與任何 FPGA、時(shí)鐘頻率和 1553 收發(fā)器配合使用。每個(gè) IP 核都經(jīng)過第三方測試,并提供與現(xiàn)有 IC 的軟件兼容性。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598998
  • 集成電路
    +關(guān)注

    關(guān)注

    5367

    文章

    11162

    瀏覽量

    358408
收藏 人收藏

    評論

    相關(guān)推薦

    深入解析MIL-STD-1553B模塊卡

    MIL-STD-1553B模塊
    發(fā)表于 09-06 11:43 ?0次下載

    如何對MIL-STD-1553B進(jìn)行選型

    MIL-STD-1553B產(chǎn)品選型是一個(gè)復(fù)雜而細(xì)致的過程,?需要綜合考慮多個(gè)因素以確保所選產(chǎn)品能夠滿足特定應(yīng)用場景的需求。一、?引言MIL-STD-1553B作為一種廣泛應(yīng)用于航空航天領(lǐng)域的數(shù)據(jù)總線
    的頭像 發(fā)表于 09-05 17:37 ?193次閱讀
    如何對<b class='flag-5'>MIL-STD-1553</b>B進(jìn)行選型

    FPGA的IP使用技巧

    FPGA的IP使用技巧主要包括以下幾個(gè)方面: 理解IP的概念和特性 : IP是指用硬
    發(fā)表于 05-27 16:13

    關(guān)于FPGA IP

    對于深入學(xué)習(xí)使用FPGA的小伙伴們,特別是一些復(fù)雜的、大規(guī)模的設(shè)計(jì)應(yīng)用,適宜的IP核對開發(fā)能起到事半功倍的作用。IP的概念與我們sdk里庫的概念相似。IP即電路功能模塊,用戶可以直接
    發(fā)表于 04-29 21:01

    三星折疊屏通過美軍MIL-STD 810G測試,技術(shù)領(lǐng)先明顯

    MIL-STD系列軍事標(biāo)準(zhǔn)由美國防部制定,其中包括810G準(zhǔn)則,新版自2012年起實(shí)施,重點(diǎn)關(guān)注環(huán)境耐受性,引導(dǎo)通過模擬實(shí)際使用情況以迅速識(shí)設(shè)備缺點(diǎn)與修正設(shè)計(jì)缺陷,從而保證產(chǎn)品在全生命周期內(nèi)對工作環(huán)境的適配性。
    的頭像 發(fā)表于 02-20 09:54 ?534次閱讀

    傳統(tǒng)廣播到數(shù)字化IP網(wǎng)絡(luò)廣播:轉(zhuǎn)變過程中的策略與挑戰(zhàn)

    來百度APP暢享高清圖片 從傳統(tǒng)廣播到數(shù)字化IP網(wǎng)絡(luò)廣播的轉(zhuǎn)變過程中,需要采取一系列的策略和措施來應(yīng)對挑戰(zhàn),確保順利過渡和可持續(xù)發(fā)展。 ? 首先,明確轉(zhuǎn)型目標(biāo)和定位是關(guān)鍵。在開始轉(zhuǎn)型之前,廣播機(jī)構(gòu)
    的頭像 發(fā)表于 01-23 14:59 ?365次閱讀
    從<b class='flag-5'>傳統(tǒng)</b>廣播到數(shù)字化<b class='flag-5'>IP</b>網(wǎng)絡(luò)廣播:轉(zhuǎn)變過程中的策略與<b class='flag-5'>挑戰(zhàn)</b>

    群脈沖預(yù)防方案的實(shí)施策略與挑戰(zhàn)?

    群脈沖預(yù)防方案的實(shí)施策略與挑戰(zhàn)?|深圳比創(chuàng)達(dá)電子
    的頭像 發(fā)表于 01-16 10:47 ?364次閱讀
    群脈沖預(yù)防方案的<b class='flag-5'>實(shí)施</b>策略與<b class='flag-5'>挑戰(zhàn)</b>?

    FPGA實(shí)現(xiàn)基于Vivado的BRAM IP的使用

    Xilinx公司的FPGA中有著很多的有用且對整個(gè)工程很有益處的IP,比如數(shù)學(xué)類的IP,數(shù)字信號(hào)處理使用的IP
    的頭像 發(fā)表于 12-05 15:05 ?1260次閱讀

    AMD Versal系列CIPS IP建立示例工程

    接著上一篇“AMD Versal系列CIPS IP介紹”文章來進(jìn)一步講解如何來建立CIPS IP核示例工程。
    的頭像 發(fā)表于 12-05 13:34 ?544次閱讀
    AMD Versal系列CIPS <b class='flag-5'>IP</b><b class='flag-5'>核</b>建立示例工程

    Distributed Memory Generator IP簡介

    Distributed Memory Generator IP 采用 LUT RAM 資源創(chuàng)建各種不同的存儲(chǔ)器結(jié)構(gòu)。IP可用來創(chuàng)建只讀存儲(chǔ)器 (ROM)、單端口隨機(jī)存取存儲(chǔ)器 (RAM) 和簡單雙
    的頭像 發(fā)表于 11-17 17:00 ?1573次閱讀
    Distributed Memory Generator <b class='flag-5'>IP</b><b class='flag-5'>核</b>簡介

    FPGA新IP學(xué)習(xí)的正確打開方式

    本帖最后由 jf_25420317 于 2023-11-17 11:10 編輯 FPGA開發(fā)過程中,利用各種IP,可以快速完成功能開發(fā),不需要花費(fèi)大量時(shí)間重復(fù)造輪子。 當(dāng)我們面對使用新IP
    發(fā)表于 11-17 11:09

    LCD的通用驅(qū)動(dòng)電路IP設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《LCD的通用驅(qū)動(dòng)電路IP設(shè)計(jì).doc》資料免費(fèi)下載
    發(fā)表于 11-15 11:15 ?1次下載
    LCD的通用驅(qū)動(dòng)電路<b class='flag-5'>IP</b><b class='flag-5'>核</b>設(shè)計(jì)

    HDLC協(xié)議IP的設(shè)計(jì)與實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《HDLC協(xié)議IP的設(shè)計(jì)與實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 11-08 15:45 ?2次下載
    HDLC協(xié)議<b class='flag-5'>IP</b><b class='flag-5'>核</b>的設(shè)計(jì)與實(shí)現(xiàn)

    集成電路IP現(xiàn)狀,積體電路IP現(xiàn)狀,Status of IC IP Core

    整體而言,作為集成電路產(chǎn)業(yè)上游的IP領(lǐng)域,市場呈現(xiàn)出前所未有的壟斷與集中態(tài)勢。2013 年,全球半導(dǎo)體 IP 市場規(guī)模達(dá)到 24.5億美元,較2012年增長了 11.5%。ARM 以 43.2%的市場占有率遙遙領(lǐng)先,穩(wěn)居龍頭地
    的頭像 發(fā)表于 10-18 17:02 ?821次閱讀

    設(shè)置AMD以太網(wǎng)IP的Pause幀處理

    目前 AMD 的以太網(wǎng) IP ,如軟 10G/25G,40G/50G 或者硬核 CMAC,MRMAC,DCMAC 等等,都采用的是同一種 Pause 幀處理方式。
    的頭像 發(fā)表于 10-18 09:15 ?776次閱讀
    設(shè)置AMD以太網(wǎng)<b class='flag-5'>IP</b><b class='flag-5'>核</b>的Pause幀處理