0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

分享幾個(gè)先進(jìn)IC封裝的案例

項(xiàng)華電子DXE ? 來源:PCBworld ? 作者:PCBworld ? 2022-11-15 09:35 ? 次閱讀

2.5D封裝

2.5D封裝是傳統(tǒng)2D IC封裝技術(shù)的進(jìn)展,可實(shí)現(xiàn)更精細(xì)的線路與空間利用。在2.5D封裝中,裸晶堆?;虿⑴欧胖迷诰哂泄柰?TSV)的中介層(interposer)頂部。其底座,即中介層,可提供芯片之間的連接性。

2.5D封裝通常用于高端ASIC、FPGA、GPU和內(nèi)存立方體。2008年,賽靈思(Xilinx)將其大型FPGA劃分為四個(gè)良率更高的較小芯片,并將這些芯片連接到硅中介層。2.5D封裝由此誕生,并最終廣泛用于高帶寬內(nèi)存(HBM)處理器整合。

dadd3088-647c-11ed-8abf-dac502259ad0.png

圖1、2.5D封裝示意圖。(圖片來源:Research Gate)

3D封裝

在3D IC封裝中,邏輯裸晶堆棧在一起或與儲(chǔ)存裸晶堆棧在一起,無需建構(gòu)大型的系統(tǒng)單芯片(SoC)。裸晶之間透過主動(dòng)中介層連接,2.5D IC封裝是利用導(dǎo)電凸塊或TSV將組件堆棧在中介層上,3D IC封裝則將多層硅晶圓與采用TSV的組件連接在一起。

TSV技術(shù)是2.5D和3D IC封裝中的關(guān)鍵使能技術(shù),半導(dǎo)體產(chǎn)業(yè)一直使用HBM技術(shù)生產(chǎn)3D IC封裝的DRAM芯片。

daebb784-647c-11ed-8abf-dac502259ad0.png

圖2 、從3D封裝的截面圖可以看出,透過金屬銅TSV實(shí)現(xiàn)了硅芯片之間的垂直互連。(數(shù)據(jù)源:Research Gate)

Chiplet

芯片庫中有一系列模塊化芯片可以采用裸晶到裸晶互連技術(shù)整合到封裝中。Chiplet是3D IC封裝的另一種形式,可以實(shí)現(xiàn)CMOS組件與非CMOS組件的異質(zhì)整合(Heterogeneous integration)。換句話說,它們是較小型的SoC,也叫做chiplet,而不是封裝中的大型SoC。

將大型SoC分解為較小的小芯片,與單顆裸晶相比具有更高的良率和更低的成本。Chiplet使設(shè)計(jì)人員可以充分利用各種IP,而不用考慮采用何種工藝節(jié)點(diǎn),以及采用何種技術(shù)制造。他們可以采用多種材料,包括硅、玻璃和層壓板來制造芯片。

daff12de-647c-11ed-8abf-dac502259ad0.png

圖3、基于Chiplet的系統(tǒng)是由中介層上的多個(gè)Chiplet組成。(圖片來源:Cadence) 扇出(Fan out)

在扇出封裝中,“連結(jié)”(connection)被扇出芯片表面,從而提供更多的外部I/O。它使用環(huán)氧樹脂成型材料(EMC)完全嵌入裸晶,不需要諸如晶圓凸塊、上助焊劑、倒裝芯片、清潔、底部噴灑充膠和固化等工藝流程,因此也無需中介層,使異質(zhì)整合變得更加簡單。

扇出技術(shù)是比其他封裝類型具有更多I/O的小型封裝。2016年,蘋果(Apple)借助臺(tái)積電(TSMC)的封裝技術(shù),將其16納米應(yīng)用處理器與移動(dòng)DRAM整合到iPhone 7的一個(gè)封裝中,從而將這項(xiàng)技術(shù)推向舞臺(tái)。

扇出晶圓級封裝(FOWLP)

FOWLP技術(shù)是針對晶圓級封裝(WLP)的改進(jìn),可以為硅芯片提供更多外部連接。它將芯片嵌入環(huán)氧樹脂成型材料中,然后在晶圓表面建構(gòu)高密度重分布層(RDL)并施加焊錫球,形成重構(gòu)晶圓(reconstituted wafer)。

它通常先將經(jīng)過處理的晶圓切成單顆裸晶,然后將裸晶分散放置在載體結(jié)構(gòu)(carrier structure)上,并填充間隙以形成重構(gòu)晶圓。FOWLP在封裝和應(yīng)用電路板之間提供了大量連接,而且由于基板比裸晶要大,裸晶的間距實(shí)際上更寬松。

db25abc4-647c-11ed-8abf-dac502259ad0.jpg

圖4、在此FOWLP封裝示例中,硅倒裝芯片嵌入到玻璃基板中,重分布層透過芯片扇出至玻璃通孔。(圖片來源:Samtec)

異質(zhì)整合

將分開制造的不同組件整合到更高級別的組件中,可以增強(qiáng)功能并改進(jìn)工作特性,因此半導(dǎo)體組件制造商能夠?qū)⒉捎貌煌に嚵鞒痰墓δ芙M件組合到一個(gè)組件中。

異質(zhì)整合類似于系統(tǒng)級封裝(SiP),但它并不是將多顆裸晶整合在單個(gè)基板上,而是將多個(gè)IP以Chiplet的形式整合在單個(gè)基板上。異質(zhì)整合的基本思想是將多個(gè)具有不同功能的組件組合在同一個(gè)封裝中。

db32537e-647c-11ed-8abf-dac502259ad0.jpg

圖5、異質(zhì)整合中的一些技術(shù)建構(gòu)區(qū)塊。(圖片來源:ASE Group)

HBM

HBM是一種標(biāo)準(zhǔn)化的堆棧儲(chǔ)存技術(shù),可為堆棧內(nèi)部,以及內(nèi)存與邏輯組件之間的數(shù)據(jù)提供高帶寬信道。HBM封裝將內(nèi)存裸晶堆棧起來,并透過TSV將它們連接在一起,從而創(chuàng)建更多的I/O和帶寬。

HBM是一種JEDEC標(biāo)準(zhǔn),它在封裝內(nèi)垂直整合了多層DRAM組件,封裝內(nèi)還有應(yīng)用處理器、GPU和SoC。HBM主要以2.5D封裝的形式實(shí)現(xiàn),用于高端服務(wù)器和網(wǎng)絡(luò)芯片。現(xiàn)在發(fā)布的HBM2版本解決了初始HBM版本中的容量和時(shí)鐘速率限制問題。

db403e76-647c-11ed-8abf-dac502259ad0.png

圖6、HBM封裝將內(nèi)存裸晶彼此堆棧,并利用TSV將它們連接起來以創(chuàng)建更多I/O和帶寬。(圖片來源:SK Hynix)

中介層

中介層是封裝中多芯片裸晶或電路板傳遞電信號的管道,是插口或接頭之間的電接口,可以將信號傳播更遠(yuǎn),也可以連接到板子上的其他插口。

中介層可以由硅和有機(jī)材料制成,充當(dāng)多顆裸晶和電路板之間的橋梁。硅中介層是一種經(jīng)過驗(yàn)證的技術(shù),具有較高的細(xì)間距I/O密度和TSV形成能力,在2.5D和3D IC芯片封裝中扮演著關(guān)鍵角色。

db57b6fa-647c-11ed-8abf-dac502259ad0.jpg

圖7、系統(tǒng)分區(qū)中介層的典型實(shí)現(xiàn)。(數(shù)據(jù)源:Yole Développement)

重分布層

重分布層包含銅連接線或走線,用于實(shí)現(xiàn)封裝各個(gè)部分之間的電氣連接。它是金屬或高分子介電材料層,裸晶可以堆棧在封裝中,從而縮小大芯片組的I/O間距。重分布層已成為2.5D和3D封裝解決方案中不可或缺的一部分,使其上的芯片可以利用中介層相互進(jìn)行通訊。

db68c012-647c-11ed-8abf-dac502259ad0.jpg

圖8、使用重分布層的整合封裝。(圖片來源:Fujitsu)

TSV

TSV是2.5D和3D封裝解決方案的關(guān)鍵實(shí)現(xiàn)技術(shù),是在晶圓中填充銅,提供貫通硅晶圓裸晶的垂直互連。它貫穿整個(gè)芯片以提供電氣連接,形成從芯片一側(cè)到另一側(cè)的最短路徑。

從晶圓的正面將通孔或孔洞蝕刻到一定深度,然后將其絕緣,并沉積導(dǎo)電材料(通常為銅)進(jìn)行填充。芯片制造完成后,從晶圓的背面將其減薄,以暴露通孔和沉積在晶圓背面的金屬,從而完成TSV互連。

db7278e6-647c-11ed-8abf-dac502259ad0.jpg

圖9、在TSV封裝中,DRAM芯片接地、穿透并與電極相連。(圖片來源:Samsung Electronics)





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598906
  • IC封裝
    +關(guān)注

    關(guān)注

    4

    文章

    183

    瀏覽量

    26644
  • HBM
    HBM
    +關(guān)注

    關(guān)注

    0

    文章

    332

    瀏覽量

    14613

原文標(biāo)題:先進(jìn)IC封裝的10個(gè)基本術(shù)語

文章出處:【微信號:項(xiàng)華電子DXE,微信公眾號:項(xiàng)華電子DXE】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    先進(jìn)IC封裝中最常用10個(gè)術(shù)語解析

    先進(jìn)IC封裝是超越摩爾時(shí)代的一大技術(shù)亮點(diǎn)。當(dāng)芯片在每個(gè)工藝節(jié)點(diǎn)上的縮小越來越困難、也越來越昂貴之際,工程師們將多個(gè)芯片放入先進(jìn)封裝中,就不
    發(fā)表于 11-19 16:00 ?6162次閱讀

    盤點(diǎn)先進(jìn)封裝基本術(shù)語

    先進(jìn)封裝是“超越摩爾”(More than Moore)時(shí)代的一大技術(shù)亮點(diǎn)。當(dāng)芯片在每個(gè)工藝節(jié)點(diǎn)上的微縮越來越困難、也越來越昂貴之際,工程師們將多個(gè)芯片放入先進(jìn)封裝中,就不必再費(fèi)力縮
    發(fā)表于 07-12 10:48 ?862次閱讀
    盤點(diǎn)<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>基本術(shù)語

    淺談先進(jìn)封裝的四要素

    說起傳統(tǒng)封裝,大家都會(huì)想到日月光ASE,安靠Amkor,長電JCET,華天HT,通富微電TF等這些封裝大廠OSAT;說起先進(jìn)封裝,當(dāng)今業(yè)界風(fēng)頭最盛的卻是臺(tái)積電TSMC,英特爾Intel
    的頭像 發(fā)表于 12-21 09:32 ?860次閱讀
    淺談<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>的四要素

    IC封裝技術(shù):解析中國與世界的差距及未來走向

    IC封裝
    北京中科同志科技股份有限公司
    發(fā)布于 :2023年08月10日 10:30:01

    簡單介紹IC的高性能封裝

    。開發(fā)設(shè)計(jì)人員在IC電氣性能設(shè)計(jì)上已接近國際先進(jìn)水平,但常常會(huì)忽視工藝方面的要求。本文介紹一種高性能IC封裝設(shè)計(jì)思想,解決因封裝使用不當(dāng)而造
    發(fā)表于 01-28 17:34

    Mentor推出獨(dú)特端到端Xpedition高密度先進(jìn)封裝流程

    Siemens 業(yè)務(wù)部門 Mentor 今天宣布推出業(yè)內(nèi)最全面和高效的針對先進(jìn) IC 封裝設(shè)計(jì)的解決方案 — Xpedition 高密度先進(jìn)封裝
    發(fā)表于 06-27 14:52 ?1952次閱讀

    10個(gè)基本的高級IC封裝術(shù)語

    隨著先進(jìn) IC 封裝技術(shù)的快速發(fā)展,工程師必須跟上它的步伐,首先要了解基本術(shù)語。
    發(fā)表于 08-12 15:06 ?1820次閱讀
    10個(gè)基本的高級<b class='flag-5'>IC</b><b class='flag-5'>封裝</b>術(shù)語

    了解先進(jìn)IC封裝中不斷出現(xiàn)的基本術(shù)語

    2.5D封裝是傳統(tǒng)2D IC封裝技術(shù)的進(jìn)展,可實(shí)現(xiàn)更精細(xì)的線路與空間利用。在2.5D封裝中,裸晶堆棧或并排放置在具有硅通孔(TSV)的中介層(interposer)頂部。其底座,即中介
    的頭像 發(fā)表于 10-26 09:34 ?767次閱讀

    變則通,國內(nèi)先進(jìn)封裝大跨步走

    ★前言★集成電路芯片與封裝之間是不可分割的整體,沒有一個(gè)芯片可以不用封裝就能正常工作,封裝對芯片來說是必不可少的。隨著IC生產(chǎn)技術(shù)的進(jìn)步,封裝
    的頭像 發(fā)表于 04-08 16:31 ?913次閱讀
    變則通,國內(nèi)<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>大跨步走

    先進(jìn)封裝演進(jìn),ic載板的種類有哪些?

    先進(jìn)封裝增速高于整體封裝,將成為全球封裝市場主要增量。根據(jù)Yole的數(shù)據(jù),全球封裝市場規(guī)模穩(wěn)步增長,2021 年全球
    發(fā)表于 09-22 10:43 ?2515次閱讀
    <b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>演進(jìn),<b class='flag-5'>ic</b>載板的種類有哪些?

    什么是先進(jìn)封裝?先進(jìn)封裝技術(shù)包括哪些技術(shù)

    半導(dǎo)體產(chǎn)品在由二維向三維發(fā)展,從技術(shù)發(fā)展方向半導(dǎo)體產(chǎn)品出現(xiàn)了系統(tǒng)級封裝(SiP)等新的封裝方式,從技術(shù)實(shí)現(xiàn)方法出現(xiàn)了倒裝(FlipChip),凸塊(Bumping),晶圓級封裝(Waferlevelpackage),2.5D
    發(fā)表于 10-31 09:16 ?1671次閱讀
    什么是<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>?<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>技術(shù)包括哪些技術(shù)

    先進(jìn)封裝基本術(shù)語

    先進(jìn)封裝基本術(shù)語
    的頭像 發(fā)表于 11-24 14:53 ?731次閱讀
    <b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>基本術(shù)語

    全球先進(jìn)IC載板市場分析

    先進(jìn)封裝先進(jìn) IC 載板構(gòu)成了強(qiáng)大而高效的 AI 加速器和高性能計(jì)算 (HPC) 應(yīng)用的基礎(chǔ)。隨著AI浪潮的興起,對AICS行業(yè)賦能下一代AI和HPC產(chǎn)品提出了巨大挑戰(zhàn)。
    的頭像 發(fā)表于 03-18 14:06 ?625次閱讀
    全球<b class='flag-5'>先進(jìn)</b><b class='flag-5'>IC</b>載板市場分析

    先進(jìn)IC載板市場的變革與機(jī)遇

    前言半導(dǎo)體行業(yè)的需求和復(fù)雜要求是先進(jìn)封裝行業(yè)(包括先進(jìn)IC載板市場)的關(guān)鍵驅(qū)動(dòng)力、轉(zhuǎn)型推動(dòng)者和創(chuàng)新誘導(dǎo)者。雖然先進(jìn)
    的頭像 發(fā)表于 04-17 08:09 ?319次閱讀
    <b class='flag-5'>先進(jìn)</b><b class='flag-5'>IC</b>載板市場的變革與機(jī)遇