0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

怎樣去使用SystemVerilog中的Static方法呢

芯片驗(yàn)證工程師 ? 來(lái)源:芯片驗(yàn)證工程師 ? 作者:驗(yàn)證哥布林 ? 2022-11-18 09:31 ? 次閱讀

在systemverilog中方法也可以聲明為“static”。靜態(tài)方法意味著對(duì)類的所有對(duì)象實(shí)例共享。

在內(nèi)存中,靜態(tài)方法的聲明存儲(chǔ)在一個(gè)同一個(gè)地方,所有對(duì)象實(shí)例都可以訪問(wèn)。

另外,靜態(tài)方法可以在類外部訪問(wèn),即使還沒(méi)有實(shí)例化任何一個(gè)類對(duì)象。

靜態(tài)方法只能訪問(wèn)靜態(tài)屬性。

從靜態(tài)方法訪問(wèn)非靜態(tài)屬性會(huì)導(dǎo)致編譯錯(cuò)誤,靜態(tài)方法也不能是virtual的,也不能使用“this”句柄。

module class_TOP( );
 class base;
 static logic [31:0] data ; //static property
 logic [31:0] addr; //dynamic property
 static task munge; //Static method
 data = 32'h f0f0_f0f0; //OK to access static variable
 //addr = 32'h ff_0000; //NOT OK since 'addr' is not static
 $display("data = %h", data);
 endtask
 endclass : base
 
 base base1;
 
 initial begin
 base1.munge;
 end
 initial #10 $fnish(2);
 endmodule

仿真log:

data = f0f0f0f0
$fnish at simulation time 10
 V C S S i m u l a t i o n R e p o r t

在本例中,我們?cè)陬悺癰ase”中聲明了一個(gè)名為“munge”的靜態(tài)方法。

我們?cè)L問(wèn)“munge”可以使用尚未完成實(shí)例化的對(duì)象句柄“base1”。

需要注意的是,靜態(tài)方法“munge”只能索引靜態(tài)變量“data”,如果取消下面這行代碼的注釋,則會(huì)發(fā)生編譯錯(cuò)誤。

因?yàn)椤癮ddr”不是靜態(tài)變量,需要實(shí)例化后使用對(duì)象的句柄才能訪問(wèn)。

//addr = 32'h ff_0000; //NOT OK since 'addr' is not static

靜態(tài)函數(shù)訪問(wèn)非靜態(tài)變量,會(huì)導(dǎo)致編譯錯(cuò)誤:

Error-[SV-AMC] Non-static member access
testbench.sv, 9
class_TOP, "addr" Illegal access of non-static member 'addr' from static method 'base::munge'.

還可以使用類解析操作符訪問(wèn)靜態(tài)方法,建議使用這種方法,因?yàn)樗宄貥?biāo)識(shí)了我們正在訪問(wèn)一個(gè)靜態(tài)方法。

class setIt;
 static int k;
 static function set (int p );
 k = p + 100;
 endfunction
endclass
 
module tbTop;
 initial begin
 setIt::set(10);
 $display("k = %0d",setIt::k);
 setIt::set(20);
 $display("k = %0d",setIt::k);
 end
endmodule

仿真log:

k = 110
k = 120
 V C S S i m u l a t i o n R e p o r t

靜態(tài)變量和靜態(tài)方法隸屬于一個(gè)類,而不是類的某個(gè)對(duì)象實(shí)例。如果在靜態(tài)方法前面加上virtual,你會(huì)得到一個(gè)編譯錯(cuò)誤:

class base;
 virtual static task munge();
 endtask
 endclass

編譯log:

Error-[WUCIQ] Invalid qualifer usage
testbench.sv, 32
Invalid use of class item qualifers. Cannot use virtual and static keywords
together for method declarations.





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Verilog語(yǔ)言
    +關(guān)注

    關(guān)注

    0

    文章

    113

    瀏覽量

    8192

原文標(biāo)題:SystemVerilog中的Static方法

文章出處:【微信號(hào):芯片驗(yàn)證工程師,微信公眾號(hào):芯片驗(yàn)證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    SystemVerilog的Virtual Methods

    SystemVerilog多態(tài)能夠工作的前提是父類方法被聲明為virtual的。
    發(fā)表于 11-28 11:12 ?626次閱讀

    在Boost電源怎樣選擇電容的型號(hào)和電容容量?

    我們之前了解過(guò)電容的作用,不外乎儲(chǔ)能、濾波等作用。那么在Boost電源又該怎樣選擇電容的型號(hào)和電容容量?
    發(fā)表于 08-14 15:44 ?2450次閱讀
    在Boost電源<b class='flag-5'>中</b>該<b class='flag-5'>怎樣</b><b class='flag-5'>去</b>選擇電容的型號(hào)和電容容量<b class='flag-5'>呢</b>?

    SystemVerilog 的VMM驗(yàn)證方法學(xué)教程教材

    SystemVerilog 的VMM 驗(yàn)證方法學(xué)教程教材包含大量經(jīng)典的VMM源代碼,可以實(shí)際操作練習(xí)的例子,更是ic從業(yè)人員的絕佳學(xué)習(xí)資料。SystemVerilog 的VMM 驗(yàn)證方法
    發(fā)表于 01-11 11:21

    怎樣設(shè)計(jì)開(kāi)關(guān)電源的電感

    怎樣為開(kāi)關(guān)電源選擇合適的電感?怎樣設(shè)計(jì)開(kāi)關(guān)電源的電感?
    發(fā)表于 10-14 08:05

    怎樣使用Matlab的編程語(yǔ)句

    怎樣使用Matlab的編程語(yǔ)句?Matlab中常用的編程語(yǔ)句有哪些?
    發(fā)表于 11-18 06:12

    怎樣使用STM32的DAC接口

    DAC數(shù)字/模擬轉(zhuǎn)換模塊是什么?有何作用?怎樣使用STM32的DAC接口?
    發(fā)表于 11-25 09:03

    怎樣使用ARM的偽操作及指令

    怎樣使用ARM的偽操作及指令?加載程序地址的方法有哪幾種?
    發(fā)表于 11-29 06:50

    怎樣使用Cortex-M內(nèi)核的精確延時(shí)方法

    為什么要學(xué)習(xí)這種Cortex-M內(nèi)核的精確延時(shí)方法?怎樣使用Cortex-M內(nèi)核的精確延
    發(fā)表于 11-30 06:00

    怎樣使用一種異域加密的方法

    怎樣使用一種異域加密的方法?
    發(fā)表于 01-20 07:01

    怎樣使用ROS的launch啟動(dòng)文件

    怎樣使用ROS的launch啟動(dòng)文件?ROS的launch啟動(dòng)文件該怎樣
    發(fā)表于 02-14 07:23

    SystemVerilog的操作方法

    SystemVerilog提供了幾個(gè)內(nèi)置方法來(lái)支持?jǐn)?shù)組搜索、排序等功能。
    的頭像 發(fā)表于 10-31 10:10 ?2500次閱讀

    JAVAstatic、final、static final如何區(qū)分

    當(dāng)我們?cè)谑褂胘ava寫(xiě)類的屬性時(shí)總會(huì)用到很多的類型修飾它,比如字符串String,整數(shù)型int,但是我們偶爾也會(huì)遇到 static、final、static final,那么他們的區(qū)別是什么
    的頭像 發(fā)表于 02-14 14:55 ?1117次閱讀
    JAVA<b class='flag-5'>中</b><b class='flag-5'>static</b>、final、<b class='flag-5'>static</b> final如何區(qū)分

    UVM通過(guò)靜態(tài)類實(shí)現(xiàn)對(duì)全局資源實(shí)現(xiàn)管理

    Systemverilog可以使用static修飾變量,方法,得到靜態(tài)變量和靜態(tài)函數(shù)。static也可以直接修飾class,獲得靜態(tài)類。但
    的頭像 發(fā)表于 08-07 17:35 ?1694次閱讀
    UVM<b class='flag-5'>中</b>通過(guò)靜態(tài)類實(shí)現(xiàn)對(duì)全局資源實(shí)現(xiàn)管理

    SystemVerilog的隨機(jī)約束方法

    上一篇文章《暗藏玄機(jī)的SV隨機(jī)化》介紹了SystemVerilog的各種隨機(jī)化方法,本文將在其基礎(chǔ)上引入SystemVerilog的隨機(jī)約束方法(constraints)。通過(guò)使用隨機(jī)
    的頭像 發(fā)表于 09-24 12:15 ?1212次閱讀

    Java對(duì)static關(guān)鍵詞的介紹

    static 是Java的一個(gè)關(guān)鍵字,可以用來(lái)修飾成員變量、修飾成員方法、構(gòu)造靜態(tài)代碼塊、實(shí)現(xiàn)靜態(tài)導(dǎo)包以及實(shí)現(xiàn)靜態(tài)內(nèi)部類,下面我們來(lái)分別介紹。 1、修飾成員變量 用 static 修飾成員變量
    的頭像 發(fā)表于 10-11 15:26 ?385次閱讀
    Java<b class='flag-5'>中</b>對(duì)<b class='flag-5'>static</b>關(guān)鍵詞的介紹