0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

重點(diǎn)介紹SoC設(shè)計(jì)的布局規(guī)劃階段

sakobpqhz ? 來源:EETOP ? 作者:electronicdesign ? 2022-11-22 14:45 ? 次閱讀

人工智能AI)已經(jīng)徹底改變了許多市場,包括制造業(yè)、制藥業(yè)、航空航天等,但硬件系統(tǒng)是迄今為止尚未在人工智能方面進(jìn)行任何重大投資或創(chuàng)新的領(lǐng)域。

雖然在片上系統(tǒng) (SoC) 生產(chǎn)的端到端生命周期中可以實(shí)現(xiàn)許多潛在的機(jī)器學(xué)習(xí) (ML) 應(yīng)用,但本文重點(diǎn)介紹 SoC設(shè)計(jì)的布局規(guī)劃階段。毋庸置疑,這是最耗費(fèi)時(shí)間、成本和人力資源的過程之一。具體來說,我們將研究評估使用ML 和優(yōu)化模型以指數(shù)方式減少在此SoC 階段的投資。

01floorplan

半導(dǎo)體芯片由數(shù)十億個(gè)晶體管組成。floorplan 涉及將這些晶體管與其他必要的組件(如時(shí)鐘、電源軌等)一起放置在芯片上。它們的位置經(jīng)過優(yōu)化,可實(shí)現(xiàn)更小的芯片尺寸、更好的性能、避免時(shí)序沖突以及更輕松的布線。設(shè)計(jì)流程中的這一關(guān)鍵步驟需要門級(jí)網(wǎng)表、約束條件、技術(shù)庫、時(shí)序庫I/O信息等,如圖1所示。

98c7eab8-6a16-11ed-8abf-dac502259ad0.png

1. floorplan 規(guī)劃需要不同的輸入。

不過,floorplan 規(guī)劃設(shè)計(jì)通常需要幾周時(shí)間才能完成。而機(jī)器學(xué)習(xí)可能會(huì)在數(shù)小時(shí)內(nèi)執(zhí)行相同的任務(wù)。這有助于更快地將半導(dǎo)體芯片推向市場,并使工程師能夠?qū)W⒂诟鼜?fù)雜的工作。

02機(jī)器學(xué)習(xí)

機(jī)器學(xué)習(xí)是一種人工智能,它從數(shù)據(jù)中學(xué)習(xí)各種模式和見解,并應(yīng)用這些學(xué)習(xí)來做出準(zhǔn)確而有見地的預(yù)測。在ML過程中需要各種步驟來優(yōu)化floorplan。

03數(shù)據(jù)采集

floorplan所需的輸入,如門級(jí)網(wǎng)表、約束、技術(shù)庫和I/O 信息,都是從經(jīng)過硅驗(yàn)證的芯片中收集的。

04數(shù)據(jù)預(yù)處理

數(shù)據(jù)收集后,將啟動(dòng)訓(xùn)練 ML 模型的步驟。第一步是以正確的格式獲取數(shù)據(jù)來訓(xùn)練模型,這稱為數(shù)據(jù)預(yù)處理。它包括幾個(gè)階段,如數(shù)據(jù)過濾、數(shù)據(jù)質(zhì)量檢查、數(shù)據(jù)轉(zhuǎn)換、規(guī)范化和標(biāo)準(zhǔn)化等。

05模型訓(xùn)練

數(shù)據(jù)準(zhǔn)備完成后,下一步是訓(xùn)練 ML 模型。目標(biāo)是預(yù)測下一個(gè)元件在片上放置,同時(shí)優(yōu)化最小功耗、性能和面積(PPA)。強(qiáng)化學(xué)習(xí)可用于實(shí)現(xiàn)這一目標(biāo)。它采用迭代方法并獎(jiǎng)勵(lì)導(dǎo)致最低 PPA的placements,同時(shí)懲罰增加PPA的建議。

06模型測試和部署

模型訓(xùn)練后,下一步是在看不見的芯片塊上測試模型的性能,以驗(yàn)證其預(yù)測的有效性。如果工程師驗(yàn)證的結(jié)果令人滿意,則可以進(jìn)行部署了。通過這些步驟進(jìn)行的芯片塊放置預(yù)測將比傳統(tǒng)方法更有效、更快。

07塊(block)放置的額外優(yōu)化

該過程可以在上一階段停止。然而,通過使用基于混合整數(shù)規(guī)劃(MIP)的優(yōu)化技術(shù),可以實(shí)現(xiàn)整個(gè)芯片塊放置的進(jìn)一步優(yōu)化。該算法將以優(yōu)化ML模型生成的floorplan 為目標(biāo),在指定的設(shè)計(jì)約束條件下進(jìn)一步最小化PPA,這些約束條件在數(shù)據(jù)部分中定義。

使用 MIP 的優(yōu)點(diǎn)是能夠針對不同場景生成優(yōu)化的解決方案。這在擴(kuò)展流程以加快設(shè)計(jì)速度時(shí)有很大幫助。整個(gè)過程的分步方法如圖 2 所示。

98d84c0a-6a16-11ed-8abf-dac502259ad0.png

2.機(jī)器學(xué)習(xí)過程涉及預(yù)測和優(yōu)化平面圖設(shè)計(jì)的步驟。

08算法

強(qiáng)化學(xué)習(xí)

強(qiáng)化學(xué)習(xí)是一種 ML,涉及通過試錯(cuò)法采取行動(dòng)和學(xué)習(xí)。這是通過獎(jiǎng)勵(lì)導(dǎo)致期望行為的行為來實(shí)現(xiàn)的,而不需要的行為會(huì)受到懲罰。

盡管有許多類型的強(qiáng)化學(xué)習(xí)算法類型,但常用的學(xué)習(xí)方法稱為Q學(xué)習(xí)(圖3中定義的方程)。這是當(dāng)代理沒有收到任何策略時(shí)(強(qiáng)化學(xué)習(xí)策略是從當(dāng)前環(huán)境觀察到要采取的行動(dòng)的概率分布的映射),導(dǎo)致對環(huán)境的自我指導(dǎo)探索。

98e90a9a-6a16-11ed-8abf-dac502259ad0.png

3.這是Q學(xué)習(xí)的方程,Q學(xué)習(xí)是一種強(qiáng)化學(xué)習(xí)算法。

MIP 優(yōu)化

混合整數(shù)規(guī)劃是一種用于解決大型復(fù)雜問題的優(yōu)化技術(shù)。它可用于在定義的約束范圍內(nèi)最小化或最大化目標(biāo)。

MIP 目標(biāo)和約束定義示例:

98fc335e-6a16-11ed-8abf-dac502259ad0.png

09優(yōu)化的價(jià)值

使用優(yōu)化技術(shù)來克服流程瓶頸以創(chuàng)建高效的系統(tǒng)并不是一個(gè)陌生的概念。幾十年前,它已成功應(yīng)用于各個(gè)行業(yè),其革命性的影響尤其體現(xiàn)在供應(yīng)鏈管理中,其市場規(guī)模為數(shù)百億美元。

使用 AI 優(yōu)化供應(yīng)鏈管理可確保以最低成本在供應(yīng)鏈中建立高效的制造、分銷和庫存放置系統(tǒng)。在新冠疫情期間,當(dāng)供應(yīng)鏈?zhǔn)艿絿?yán)重影響時(shí),這一點(diǎn)變得非常明顯。采用供應(yīng)鏈優(yōu)化的公司不僅沒有受到疫情的嚴(yán)重影響,而且許多公司甚至能夠在其中蓬勃發(fā)展。與此同時(shí),未能做到這一點(diǎn)的公司遭受了數(shù)十億美元的損失,至今仍未恢復(fù)。

10保持警惕

人工智能確實(shí)很強(qiáng)大,但它的預(yù)測不應(yīng)該盲目接受,必須由人類工程師來驗(yàn)證。應(yīng)向輸出不符合約束或非最佳錯(cuò)誤布局的ML 模型提供反饋。但是,通過一致的反饋,該模型確實(shí)會(huì)自我改進(jìn)。硬件行業(yè)還應(yīng)該考慮最初的開銷。

11結(jié)論

利用人工智能(機(jī)器學(xué)習(xí)、深度學(xué)習(xí)等)在整個(gè)硬件生命周期中綜合、分析、模擬、部署和推出有效的解決方案還有許多其他實(shí)用應(yīng)用,具有數(shù)十億美元的影響潛力。本文只是通過查看其中一個(gè)應(yīng)用來觸及表面。

與軟件技術(shù)行業(yè)類似,硬件技術(shù)行業(yè)的領(lǐng)導(dǎo)者也應(yīng)該齊心協(xié)力,釋放人工智能在這一領(lǐng)域的全部潛力。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • SoC設(shè)計(jì)
    +關(guān)注

    關(guān)注

    1

    文章

    147

    瀏覽量

    18718
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9502

    瀏覽量

    136947
  • 機(jī)器學(xué)習(xí)

    關(guān)注

    66

    文章

    8306

    瀏覽量

    131848

原文標(biāo)題:從數(shù)周縮短到數(shù)小時(shí)!利用人工智能進(jìn)行SoC預(yù)測性布局

文章出處:【微信號(hào):算力基建,微信公眾號(hào):算力基建】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    項(xiàng)目規(guī)劃、硬件設(shè)計(jì)時(shí)是否有考慮PCB布局問題?怎么確定...

    項(xiàng)目規(guī)劃、硬件設(shè)計(jì)時(shí)是否有考慮PCB布局問題?怎么確定布局是否合理?
    發(fā)表于 12-26 21:58

    智能電網(wǎng)發(fā)展規(guī)劃

    益化、標(biāo)準(zhǔn)化。三個(gè)階段國家公司對堅(jiān)強(qiáng)智能電網(wǎng)的三個(gè)推進(jìn)階段作了具體定位:2009~2010年為規(guī)劃試點(diǎn)階段,重點(diǎn)開展智能電網(wǎng)發(fā)展
    發(fā)表于 09-21 13:21

    基于多模掃頻儀的LTE網(wǎng)絡(luò)規(guī)劃和優(yōu)化

    協(xié)調(diào)優(yōu)化則更顯得無能為力了。掃頻儀作為一款網(wǎng)絡(luò)優(yōu)化測試常用儀表,其多頻段、多制式的靈活使用方式,豐富、完整的數(shù)據(jù)內(nèi)容,為初期網(wǎng)絡(luò)建設(shè)和深度優(yōu)化階段提供了實(shí)際有效的數(shù)據(jù)依據(jù)。本文將通過TD-LTE網(wǎng)絡(luò)建設(shè)的各階段對于掃頻儀的功能應(yīng)用來做
    發(fā)表于 06-10 07:14

    PCB規(guī)劃/布局和布線的設(shè)計(jì)技巧和要點(diǎn)

    盡管現(xiàn)在的EDA工具很強(qiáng)大,但隨著PCB尺寸要求越來越小,器件密度越來越高,PCB設(shè)計(jì)的難度并不小。如何實(shí)現(xiàn)PCB高的布通率以及縮短設(shè)計(jì)時(shí)間呢?本文介紹PCB規(guī)劃、布局和布線的設(shè)計(jì)技巧和要點(diǎn)。
    發(fā)表于 01-22 06:44

    關(guān)于無刷電機(jī)的pcb布局及走線規(guī)劃

    請問無刷電機(jī)如何去進(jìn)行pcb布局?無刷電機(jī)的pcb走線規(guī)劃是怎樣的?
    發(fā)表于 06-26 07:29

    SoC芯片的開發(fā)流程有哪幾個(gè)階段

    SoC芯片的開發(fā)流程SoC芯片開發(fā)流程大致分為四個(gè)階段,其中大部分工作都是借助于電子設(shè)計(jì)自動(dòng)化(EDA)工具完成的。總體設(shè)計(jì)總體設(shè)計(jì)階段的任務(wù)是按照系統(tǒng)需求說明書確定
    發(fā)表于 11-08 08:33

    介紹優(yōu)化SIMPLE SWITCHER電源模塊性能的最佳PCB布局方法、實(shí)例及技術(shù)

    全球出現(xiàn)的能源短缺問題使各國***都開始大力推行節(jié)能新政。電子產(chǎn)品的能耗標(biāo)準(zhǔn)越來越嚴(yán)格,對于電源設(shè)計(jì)工程師,如何設(shè)計(jì)更高效率、更高性能的電源是一個(gè)永恒的挑戰(zhàn)。本文從電源PCB的布局出發(fā),介紹了優(yōu)化
    發(fā)表于 12-28 07:07

    ARM SOC中的ROM(TEE信任根)是在生產(chǎn)芯片階段燒錄的嗎

    請問下:ARM SOC中的ROM(TEE信任根)是在生產(chǎn)芯片階段燒錄的嗎?還是在手機(jī)產(chǎn)品生產(chǎn)階段與FLASH一起燒錄的?謝謝。
    發(fā)表于 09-20 14:45

    利用人工智能進(jìn)行SoC預(yù)測性布局

    許多潛在的機(jī)器學(xué)習(xí) (ML) 應(yīng)用,但本文重點(diǎn)介紹 SoC設(shè)計(jì)的布局規(guī)劃階段。毋庸置疑,這是最耗
    發(fā)表于 11-22 15:02

    汽車總線的研究重點(diǎn)和關(guān)鍵技術(shù)介紹

    汽車總線的研究重點(diǎn)和關(guān)鍵技術(shù)介紹 汽車總線系統(tǒng)的研究與發(fā)展可以分為三個(gè)階段:第一階段是研究汽車的基本控制系統(tǒng)(也稱舒適
    發(fā)表于 03-19 10:40 ?1396次閱讀

    物聯(lián)網(wǎng)“十二五”規(guī)劃進(jìn)最后審核階段

    《物聯(lián)網(wǎng)“十二五”發(fā)展規(guī)劃》即將走過最后的審核程序,有望近期發(fā)布?!?b class='flag-5'>規(guī)劃》將超高頻和微波RFID標(biāo)簽、智能傳感器等領(lǐng)域明確為支持重點(diǎn)。
    發(fā)表于 12-12 16:49 ?596次閱讀

    國務(wù)院發(fā)工業(yè)轉(zhuǎn)型升級(jí)規(guī)劃 物聯(lián)網(wǎng)成升級(jí)重點(diǎn)

    近日,國務(wù)院印發(fā)《工業(yè)轉(zhuǎn)型升級(jí)規(guī)劃(2011—2015年)》(以下簡稱《規(guī)劃》)?!?b class='flag-5'>規(guī)劃》重點(diǎn)提出了“十二五”期間我國工業(yè)轉(zhuǎn)型升級(jí)的主要目標(biāo)和重點(diǎn)
    發(fā)表于 02-24 08:45 ?559次閱讀

    UltraFast設(shè)計(jì)的建議方法及電路板和器件規(guī)劃介紹

    介紹有關(guān)電路板和器件規(guī)劃的UltraFast設(shè)計(jì)方法建議。 本快速入門介紹了電路板布局建議,IO和時(shí)鐘規(guī)劃以及電源注意事項(xiàng)等關(guān)鍵主題。
    的頭像 發(fā)表于 11-20 06:49 ?2944次閱讀

    電路板布局設(shè)計(jì)的重點(diǎn)——pcb鉆孔槽孔

    電路板布局設(shè)計(jì)的重點(diǎn)——pcb鉆孔槽孔
    的頭像 發(fā)表于 10-13 11:18 ?1694次閱讀

    SoC布局中各種IC簡介

    SoC布局SoC布局指將設(shè)計(jì)好的功能模塊合理地安排在芯片上,規(guī)劃好它們的位置。在進(jìn)行布局時(shí),需
    的頭像 發(fā)表于 07-17 08:28 ?205次閱讀
    <b class='flag-5'>SoC</b><b class='flag-5'>布局</b>中各種IC簡介