0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

理解Vitis HLS默認行為

FPGA技術驛站 ? 來源:FPGA技術驛站 ? 作者:FPGA技術驛站 ? 2022-11-24 11:42 ? 次閱讀

相比于VivadoHLS,Vitis HLS更加智能化,這體現在Vitis HLS可以自動探測C/C++代碼中可并行執(zhí)行地部分而無需人工干預添加pragma。另一方面VitisHLS也會根據用戶添加的pragma來判斷是否需要額外配置其他pragma以使用戶pragma生效。為便于說明,我們來看一個簡單的案例。

如下圖所示代碼,函數array_mult用于計算兩個一維數組對應元素差的平方。數組長度為N,故通過N次for循環(huán)可完成此操作(這里N為8)。

2e5b5e28-6ba9-11ed-8abf-dac502259ad0.png

如果我們不添加任何pragma,從C綜合后的報告來看,工具會自動對for循環(huán)添加PIPELINE,如下圖所示。同時,工具會將數組映射為單端口RAM(因為數組是頂層函數的形參,故只生成單端口RAM需要的端口信號),這樣匹配了DSP48的接口需求(兩個輸入數據一個輸出數據)。從C/RTLCosim的波形可以看到輸入/輸出數據流關系。

2e6ae2d0-6ba9-11ed-8abf-dac502259ad0.png

2e84e446-6ba9-11ed-8abf-dac502259ad0.png

如果我們對for循環(huán)施加UNROLL,理論上分析可知工具應將for循環(huán)展開(復制8份),這樣會消耗8個DSP48,如下圖所示。這就需要能同時有16個數據提供給這8個DSP48,但此時工具只是將數組映射為雙端口RAM。這顯然造成了數據通路的不匹配。這其實造成了DSP48的浪費。這里,因為數組是頂層函數,故工具并沒有對其施加ARRAY_PARTITION,但如果是子函數的形參,工具就會自動對數組施加ARRAY_PARTITION,以確保數據通路的匹配。

2ea73208-6ba9-11ed-8abf-dac502259ad0.png

因此,我們換個思路,既然工具至多會將數組映射為雙端口RAM,那么我們就將for循環(huán)復制兩份,從而實現數據通路的匹配。這可通過UNROLL的選項factor設置為2。從C綜合報告來看,消耗了2個DSP48,同時工具對for循環(huán)自動設置了PIPELINE。

2ec17956-6ba9-11ed-8abf-dac502259ad0.png

2ee37c4a-6ba9-11ed-8abf-dac502259ad0.png

當然,我們也可以對整個函數施加PIPELINE,這樣工具會將for循環(huán)自動UNROLL,但這同樣會造成DSP48的浪費,因為工具不會對頂層函數的形參數組自動進行ARRAY_PARTITION。于是,我們考慮手工添加ARRAY_PARTITION,同時對函數添加PIPELINE,從而使得數據通路完美匹配。

2f0c9efe-6ba9-11ed-8abf-dac502259ad0.png

我們對這些Solution進行對比,如下圖所示。solution1消耗資源最少,但Latency最大;solution5消耗資源最多,但Latency最小。

solution1:僅對for循環(huán)施加pipeline。

solution2:僅對for循環(huán)施加UNROLL。

solution3:僅對for循環(huán)施加UNROLL并將factor設置為2。

solution4:僅對函數施加PIPELINE。

solution5:對函數施加PIPELINE,對輸入/輸出數組施加ARRAY_PARTITION(Complete)。

2f2bcb44-6ba9-11ed-8abf-dac502259ad0.png

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • 函數
    +關注

    關注

    3

    文章

    4235

    瀏覽量

    61965
  • C++
    C++
    +關注

    關注

    21

    文章

    2085

    瀏覽量

    73301
  • HLS
    HLS
    +關注

    關注

    1

    文章

    128

    瀏覽量

    23911
  • Vitis
    +關注

    關注

    0

    文章

    145

    瀏覽量

    7313

原文標題:理解Vitis HLS默認行為

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術驛站】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    FPGA高層次綜合HLSVitis HLS知識庫簡析

    ,Vivado 2019.1之前(包括),HLS工具叫Vivado HLS,之后為了統(tǒng)一將HLS集成到Vitis里了,集成之后增加了一些功能,同時將這部分開源出來了。
    發(fā)表于 09-07 15:21

    使用Vitis HLS創(chuàng)建屬于自己的IP相關資料分享

    1、使用Vitis HLS創(chuàng)建屬于自己的IP高層次綜合(High-level Synthesis)簡稱HLS,指的是將高層次語言描述的邏輯結構,自動轉換成低抽象級語言描述的電路模型的過程。對于AMD
    發(fā)表于 09-09 16:45

    Vivado HLSVitis HLS 兩者之間有什么區(qū)別

    Vitis HLS下,一個Solution的Flow Target可以是Vivado IP Flow Target,也可以是VitisKernel Flow Target,如下圖所示。前者最終導出來
    的頭像 發(fā)表于 11-05 17:43 ?3.8w次閱讀

    Vitis初探—1.將設計從SDSoC/Vivado HLS遷移到Vitis上的教程

    本文介紹如何一步一步將設計從SDSoC/Vivado HLS遷移到Vitis平臺。
    的頭像 發(fā)表于 07-25 17:45 ?3632次閱讀
    <b class='flag-5'>Vitis</b>初探—1.將設計從SDSoC/Vivado <b class='flag-5'>HLS</b>遷移到<b class='flag-5'>Vitis</b>上的教程

    Vitis初探—1.將設計從SDSoC/Vivado HLS遷移到Vitis

    本文介紹如何一步一步將設計從SDSoC/Vivado HLS遷移到Vitis平臺。
    發(fā)表于 01-31 08:12 ?8次下載
    <b class='flag-5'>Vitis</b>初探—1.將設計從SDSoC/Vivado <b class='flag-5'>HLS</b>遷移到<b class='flag-5'>Vitis</b>上

    基于Vitis HLS的加速圖像處理

    使用Vivado / Vitis工具提供預安裝的OpenCV版本。盡管Vitis_hls編譯Vision庫不需要OpenCV,但是用戶測試驗證使用時OpenCV。
    的頭像 發(fā)表于 02-16 16:21 ?2274次閱讀
    基于<b class='flag-5'>Vitis</b> <b class='flag-5'>HLS</b>的加速圖像處理

    Vitis HLS工具簡介及設計流程

    Vitis HLS 是一種高層次綜合工具,支持將 C、C++ 和 OpenCL 函數硬連線到器件邏輯互連結構和 RAM/DSP 塊上。Vitis HLS 可在
    的頭像 發(fā)表于 05-25 09:43 ?2211次閱讀

    Vitis HLS如何添加HLS導出的.xo文件

    HLS導出的.xo文件如何導入到Vitis里面?需要把.xo文件解壓,然后把文件夾導入到Vitis Kernel/src文件夾下嗎?
    的頭像 發(fā)表于 08-03 11:20 ?2948次閱讀
    <b class='flag-5'>Vitis</b> <b class='flag-5'>HLS</b>如何添加<b class='flag-5'>HLS</b>導出的.xo文件

    Vitis HLS前端現已全面開源

    Vitis HLS 工具能夠將 C++ 和 OpenCL 功能部署到器件的邏輯結構和 RAM/DSP 塊上。在 GitHub 上提供 Vitis HLS 前端為研究人員、開發(fā)人員和編譯
    的頭像 發(fā)表于 08-03 09:53 ?857次閱讀

    Vitis HLS知識庫總結

    對于AMD Xilinx而言,Vivado 2019.1之前(包括),HLS工具叫Vivado HLS,之后為了統(tǒng)一將HLS集成到Vitis里了,集成之后增加了一些功能,同時將這部分開
    的頭像 發(fā)表于 09-02 09:06 ?3256次閱讀

    HLS最全知識庫

    對于AMD Xilinx而言,Vivado 2019.1之前(包括),HLS工具叫Vivado HLS,之后為了統(tǒng)一將HLS集成到Vitis里了,集成之后增加了一些功能,同時將這部分開
    的頭像 發(fā)表于 01-15 11:27 ?2306次閱讀

    AMD全新Vitis HLS資源現已推出

    AMD Vitis HLS 工具允許用戶通過將 C/C++ 函數綜合成 RTL,輕松創(chuàng)建復雜的 FPGA 算法。Vitis HLS 工具與 Vivado Design Suite(用于
    的頭像 發(fā)表于 04-23 10:41 ?956次閱讀
    AMD全新<b class='flag-5'>Vitis</b> <b class='flag-5'>HLS</b>資源現已推出

    如何在Vitis HLS GUI中使用庫函數?

    Vitis? HLS 2023.1 支持新的 L1 庫向導,本文將講解如何下載 L1 庫、查看所有可用功能以及如何在 Vitis HLS GUI 中使用庫函數。
    的頭像 發(fā)表于 08-16 10:26 ?975次閱讀
    如何在<b class='flag-5'>Vitis</b> <b class='flag-5'>HLS</b> GUI中使用庫函數?

    Vitis HLS:使用任務級并行性的高性能設計

    電子發(fā)燒友網站提供《Vitis HLS:使用任務級并行性的高性能設計.pdf》資料免費下載
    發(fā)表于 09-13 17:21 ?0次下載
    <b class='flag-5'>Vitis</b> <b class='flag-5'>HLS</b>:使用任務級并行性的高性能設計

    Vitis HLS移植指南

    電子發(fā)燒友網站提供《Vitis HLS移植指南.pdf》資料免費下載
    發(fā)表于 09-13 09:21 ?0次下載
    <b class='flag-5'>Vitis</b> <b class='flag-5'>HLS</b>移植指南