0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

通過(guò)靜態(tài)時(shí)序分析驗(yàn)證設(shè)計(jì)的正確性

sally100 ? 來(lái)源:數(shù)字ICer ? 作者:數(shù)字ICer ? 2022-11-28 15:26 ? 次閱讀

靜態(tài)時(shí)序分析介紹

傳統(tǒng)的電路設(shè)計(jì)分析方法是僅僅采用動(dòng)態(tài)仿真的方法來(lái)驗(yàn)證設(shè)計(jì)的正確性。隨著集成電路的發(fā)展,這一驗(yàn)證方法就成為了大規(guī)模復(fù)雜的設(shè)計(jì)驗(yàn)證時(shí)的瓶頸。

相對(duì)于動(dòng)態(tài)仿真方法,靜態(tài)時(shí)序分析方法要快很多,而且它能夠驗(yàn)證所有的門級(jí)電路設(shè)計(jì)的時(shí)序關(guān)系。

靜態(tài)時(shí)序分析最大的特點(diǎn)是不需要加入輸入測(cè)試向量,每一個(gè)時(shí)序路徑都自動(dòng)被檢測(cè)到。

靜態(tài)時(shí)序分析工具主要對(duì)設(shè)計(jì)電路中以下路徑進(jìn)行分析:

從原始輸入端到設(shè)計(jì)電路中的所有觸發(fā)器;

從觸發(fā)器到觸發(fā)器;

從觸發(fā)器到設(shè)計(jì)電路的原始輸出端口;

從設(shè)計(jì)電路的原始輸入端口到原始輸出端口。

時(shí)序路徑(Timing Path)

路徑1:從設(shè)計(jì)電路的原始輸入端口A到觸發(fā)器的數(shù)據(jù)端口D。

路徑2:從觸發(fā)器的CLK端到觸發(fā)器的數(shù)據(jù)輸入端口D。

路徑3:從觸發(fā)器的CLK端到設(shè)計(jì)電路的原始輸出端口Z。

路徑4:從設(shè)計(jì)電路的原始輸入端口A到設(shè)計(jì)電路的原始輸出端口Z。

觸發(fā)器的建立時(shí)間(Setup Time):

指的是時(shí)鐘信號(hào)變化之前數(shù)據(jù)保持不變的時(shí)間

觸發(fā)器的保持時(shí)間(Hold Time):

指的是時(shí)鐘信號(hào)變化之后數(shù)據(jù)保持不變的時(shí)間

時(shí)間裕量(Slack)

Slack是指信號(hào)在時(shí)序路徑上要求的時(shí)間和實(shí)際花費(fèi)的時(shí)間之差。

時(shí)鐘偏斜(Clock Skew)

時(shí)鐘偏斜是指從時(shí)鐘定義點(diǎn)到不同觸發(fā)器時(shí)鐘引腳的延時(shí)差。在可綜合的同步設(shè)計(jì)電路中,在一個(gè)時(shí)鐘沿第一個(gè)觸發(fā)器放出數(shù)據(jù),此數(shù)據(jù)在另一個(gè)時(shí)鐘沿(通常是接下來(lái)的那個(gè)時(shí)鐘沿)被第二個(gè)觸發(fā)器接收到。如果這兩個(gè)時(shí)鐘沿(發(fā)出數(shù)據(jù)的時(shí)鐘沿和接收數(shù)據(jù)的時(shí)鐘沿)是同一個(gè)時(shí)鐘源放出的,則在理想狀態(tài)下,兩個(gè)時(shí)鐘沿相差一個(gè)時(shí)鐘周期。但是由于兩個(gè)觸發(fā)器的時(shí)鐘路徑的不同,路徑上的延時(shí)會(huì)有一定的差別,接收數(shù)據(jù)的時(shí)鐘沿可能早到或晚到,這樣的話就會(huì)產(chǎn)生時(shí)鐘偏斜。

更多請(qǐng)查看 :

綜合與時(shí)序分析

PTPX功耗分析 | Average Power Analysis

PTPX功耗分析 | Peak Power Analysis

實(shí)例:用PrimeTime進(jìn)行時(shí)序分析

Primetime,縮寫為PT,是一個(gè)獨(dú)立的STA工具。它不僅能夠在設(shè)計(jì)電路所要求的約束條件下檢查時(shí)序,還能對(duì)設(shè)計(jì)電路進(jìn)行全面的靜態(tài)時(shí)序分析。

(1)讀取設(shè)計(jì)電路數(shù)據(jù)

把電路的設(shè)計(jì)代碼文件讀入PT中,以便PT進(jìn)行分析。值得注意的是,PT做靜態(tài)時(shí)序分析的時(shí)候只能讀映射過(guò)的文件。

read_db-netlist_only.db#dbformat
read_verilog.sv#verilogformat
read_vhdl.svhd#vhdlformat
read_edif.edf#EDIFformat

(2)創(chuàng)建設(shè)計(jì)電路的約束條件

對(duì)設(shè)計(jì)電路設(shè)置約束條件,這樣才能得到接近實(shí)際情況的分析結(jié)果。通常需要設(shè)置相關(guān)的時(shí)鐘信號(hào)和輸入/輸出延時(shí)

create_clock-period40-waveform{020}CLK
set_clock_latency-source0.2CLK
set_clock_uncertainty-setup0.5-hold0.5CLK
set_dont_touch_networkCLK
set_ideal_networkCLK

(3)指定環(huán)境分析條件

除了一些語(yǔ)法上輕微的差別,PT的環(huán)境的設(shè)置命令與DC一致。

下面是常用的設(shè)置環(huán)境的命令:

set_wire_load_model-name
set_wire_load_mode
set_operating_conditions
set_load50[all_outputs]
set_input_delay10.0-clock[all_inputs]
set_output_delay10.0-clock[all_outputs]

(4)進(jìn)行靜態(tài)時(shí)序分析

report_timing:顯示時(shí)序路徑信息。
report_timing -delay max -from a -to z2
report_timing -delay min -from a -to z2

上述第一條命令用于建立時(shí)間沖突的檢查,第二條命令用于保持時(shí)間沖突的檢查。

report_constraint:顯示設(shè)計(jì)電路的相關(guān)約束信息。
report_constraint -all_violators

檢查時(shí)序沖突和修正沖突

由于靜態(tài)時(shí)序工具把整個(gè)設(shè)計(jì)電路打散成時(shí)序路徑,分析不同路徑的時(shí)序信息,得到建立時(shí)間和保持時(shí)間的計(jì)算結(jié)果。而靜態(tài)時(shí)序分析的精髓就在于判斷和分析這兩個(gè)參數(shù)的結(jié)果。

消除建立、保持時(shí)間的沖突方法

消除建立時(shí)間的沖突方法如下:

加強(qiáng)約束條件重新綜合設(shè)計(jì)電路或?qū)Ξa(chǎn)生沖突的時(shí)序路徑進(jìn)行進(jìn)一步的優(yōu)化;

通過(guò)做ECO(Engineering Change Order)來(lái)消除沖突;

如果以上方法都不能產(chǎn)生效果,那可能只好通過(guò)修改RTL代碼來(lái)實(shí)現(xiàn)。

消除保持時(shí)間沖突方法如下:

絕大多數(shù)的布局布線工具都具有自動(dòng)消除保持時(shí)間沖突的功能,可以通過(guò)這些工具來(lái)實(shí)現(xiàn);

如果工具不能實(shí)現(xiàn)的話,可以在產(chǎn)生沖突的時(shí)序路徑上通過(guò)ECO添加緩沖器邏輯,使得數(shù)據(jù)到達(dá)的時(shí)間符合保持時(shí)間的檢查,以此消除沖突。

統(tǒng)計(jì)靜態(tài)時(shí)序分析

靜態(tài)時(shí)序分析很久以來(lái)都被看作是百萬(wàn)門級(jí)芯片時(shí)序分析的基本方法及設(shè)計(jì)完成的檢驗(yàn)。然而,隨著深亞微米技術(shù)進(jìn)一步下降到90 nm及其以下的線寬,設(shè)計(jì)者在進(jìn)行靜態(tài)時(shí)序分析時(shí)面臨著太多的不確定性。

用統(tǒng)計(jì)表態(tài)時(shí)序分析(SSTA,Statistical Static Timing Analysis)的方法有可能估計(jì)出許多不確定的現(xiàn)象,幫助設(shè)計(jì)者精調(diào)設(shè)計(jì),減少不必要的過(guò)度設(shè)計(jì),使得設(shè)計(jì)更可靠,進(jìn)而提高良率。

傳統(tǒng)的時(shí)序分析的局限

制程變異的來(lái)源有很多,主要包括每批晶圓的差異、晶圓與晶圓間的差異、裸片間的差異,以及裸片上的差異等。

將電路置于最好條件(Best Case)、最壞條件(Worst Case)等多種情況下進(jìn)行分析,但是對(duì)于晶片上的制程變異卻無(wú)能為力。因?yàn)樵谧顗臈l件分析時(shí),靜態(tài)時(shí)序分析總是假定一個(gè)晶圓上的電路同時(shí)都處于最壞情況下,而實(shí)際上,同一個(gè)晶圓上的電路不可能同時(shí)都處于最壞的條件下(這可由分析版圖或者工藝得來(lái))。

在一個(gè)芯片上不同位置上畫了兩個(gè)完全一樣的MOS管,制造出來(lái)后,兩只MOS管的性能很難保證完全一樣。當(dāng)工藝在90 nm以下時(shí),誤差會(huì)高達(dá)20%~30%。傳統(tǒng)式的靜態(tài)時(shí)序分析是將芯片上所有器件按同一個(gè)工藝及工作條件下的時(shí)間路徑上的延時(shí)加起來(lái),因而傳統(tǒng)式的靜態(tài)時(shí)序分析對(duì)于延遲的估計(jì)過(guò)于悲觀。

統(tǒng)計(jì)靜態(tài)時(shí)序分析的概念

在靜態(tài)時(shí)序分析中,信號(hào)的到達(dá)時(shí)間和門延遲都是確定的數(shù)值。

在統(tǒng)計(jì)靜態(tài)時(shí)序分析中,當(dāng)工藝參數(shù)的偏差用隨機(jī)變量建模后,作為工藝參數(shù)函數(shù)的門延遲、互連線延遲和門輸入端信號(hào)的到達(dá)時(shí)間自然也需要用帶有概率分布的隨機(jī)變量來(lái)描述。

統(tǒng)計(jì)靜態(tài)時(shí)序分析的步驟

首先,要有用于統(tǒng)計(jì)靜態(tài)時(shí)序分析的標(biāo)準(zhǔn)單元庫(kù)。

通過(guò)統(tǒng)計(jì)靜態(tài)時(shí)序分析,找出合適的時(shí)序窗(Timing Window),在此窗中,良率可以達(dá)到最高。

總之,統(tǒng)計(jì)靜態(tài)時(shí)序分析通過(guò)對(duì)制程變異進(jìn)行恰當(dāng)?shù)慕?,更好地解決了延遲的不確定性問(wèn)題,避免了過(guò)度的余量,提高了設(shè)計(jì)的性能及制造的良品率。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 集成電路
    +關(guān)注

    關(guān)注

    5366

    文章

    11162

    瀏覽量

    358374
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1990

    瀏覽量

    60871

原文標(biāo)題:靜態(tài)時(shí)序分析

文章出處:【微信號(hào):數(shù)字ICer,微信公眾號(hào):數(shù)字ICer】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    淺談邏輯分析儀的技術(shù)原理和應(yīng)用領(lǐng)域

    故障原因,并進(jìn)行故障排除。 系統(tǒng)設(shè)計(jì)驗(yàn)證:在數(shù)字系統(tǒng)設(shè)計(jì)過(guò)程中,使用邏輯分析儀可以實(shí)時(shí)驗(yàn)證設(shè)計(jì)的正確性。通過(guò)捕獲和
    發(fā)表于 09-12 15:04

    如何保障光伏發(fā)電裝置的安全和適用

    確保光伏發(fā)電裝置安全和質(zhì)量高標(biāo)是重點(diǎn)。安裝正確性驗(yàn)證、系統(tǒng)性能檢查及持續(xù)能源輸出確認(rèn)是基本要求。SEAWARDPV200PV200測(cè)試儀提供高效測(cè)試及診斷,支持無(wú)線NFC連接pvmobileAndroid應(yīng)用程序進(jìn)行數(shù)據(jù)傳輸和即時(shí)分析
    的頭像 發(fā)表于 08-01 15:15 ?148次閱讀
    如何保障光伏發(fā)電裝置的安全<b class='flag-5'>性</b>和適用<b class='flag-5'>性</b>

    FPGA 高級(jí)設(shè)計(jì):時(shí)序分析和收斂

    的綜合、映射、布局和布線,以減小邏輯和布線延時(shí),從而提高 工作頻率。 2、獲得正確時(shí)序分析報(bào)告 幾乎所有的 FPGA 設(shè)計(jì)平臺(tái)都包含靜態(tài)時(shí)序
    發(fā)表于 06-17 17:07

    頻譜分析儀的分類

    這類分析儀可以在非常短的時(shí)間內(nèi)捕捉寬帶的信號(hào),并以高速率提供精細(xì)的頻譜分析。它們通常用于驗(yàn)證無(wú)線系統(tǒng)的正確性、檢測(cè)干擾源和跟蹤無(wú)線信號(hào)。實(shí)時(shí)頻譜分析
    的頭像 發(fā)表于 05-08 15:32 ?400次閱讀

    fpga原型驗(yàn)證流程

    FPGA原型驗(yàn)證流程是確保FPGA(現(xiàn)場(chǎng)可編程門陣列)設(shè)計(jì)正確性和功能的關(guān)鍵步驟。它涵蓋了從設(shè)計(jì)實(shí)現(xiàn)到功能驗(yàn)證的整個(gè)過(guò)程,是FPGA開(kāi)發(fā)流程中不可或缺的一環(huán)。
    的頭像 發(fā)表于 03-15 15:05 ?1153次閱讀

    fpga仿真是什么

    FPGA仿真是一種驗(yàn)證FPGA設(shè)計(jì)正確性的過(guò)程,主要用來(lái)分析設(shè)計(jì)電路邏輯關(guān)系的正確性。在FPGA設(shè)計(jì)中,仿真測(cè)試是把FPGA當(dāng)作一個(gè)功能芯片,給一些輸入信號(hào),再觀測(cè)輸出信號(hào),看輸出信號(hào)
    的頭像 發(fā)表于 03-15 13:59 ?1101次閱讀

    數(shù)字電路設(shè)計(jì)有哪些仿真驗(yàn)證流程

    設(shè)計(jì)的要求運(yùn)行。 本文將詳細(xì)介紹數(shù)字電路設(shè)計(jì)的仿真驗(yàn)證流程,以及每個(gè)步驟的重要和方法。 仿真驗(yàn)證的目標(biāo): 在設(shè)計(jì)階段,仿真驗(yàn)證的目標(biāo)是驗(yàn)證
    的頭像 發(fā)表于 01-02 17:00 ?1028次閱讀

    電源時(shí)序控制的正確方法,你掌握了嗎?

    電源時(shí)序控制的正確方法,你掌握了嗎?
    的頭像 發(fā)表于 12-15 09:27 ?1098次閱讀
    電源<b class='flag-5'>時(shí)序</b>控制的<b class='flag-5'>正確</b>方法,你掌握了嗎?

    芯片前仿真和后仿真的區(qū)別

    是指在芯片設(shè)計(jì)過(guò)程中,對(duì)電路的功能和性能進(jìn)行仿真驗(yàn)證的環(huán)節(jié)。它主要關(guān)注電路的功能、時(shí)序和功耗等方面,以確保設(shè)計(jì)的正確性和可行。前仿真通常
    的頭像 發(fā)表于 12-13 15:06 ?5716次閱讀

    Stimulus—需求形式化建模和驗(yàn)證工具

    Stimulus是法國(guó)達(dá)索公司產(chǎn)品,其目的是通過(guò)需求建模分析來(lái)驗(yàn)證需求的正確性。Stimulus的核心理念是運(yùn)用“自然語(yǔ)言”對(duì)功能性需求進(jìn)行建模,并
    的頭像 發(fā)表于 12-12 16:00 ?358次閱讀
    Stimulus—需求形式化建模和<b class='flag-5'>驗(yàn)證</b>工具

    FPGA時(shí)序約束--基礎(chǔ)理論篇

    和修復(fù)時(shí)序路徑。 時(shí)序路徑對(duì)于設(shè)計(jì)的正確性時(shí)序性能來(lái)說(shuō)都是非常重要的。為了最大程度地提高性能并避免瓶頸,我們必須對(duì)時(shí)序路徑進(jìn)行詳細(xì)的
    發(fā)表于 11-15 17:41

    FPGA工程師需要具備哪些技能?

    和技術(shù)進(jìn)行可靠、正確性驗(yàn)證。這些技術(shù)包括靜態(tài)時(shí)間分析、動(dòng)態(tài)觀測(cè)、仿真、實(shí)驗(yàn)室測(cè)試等。 靜態(tài)
    發(fā)表于 11-09 11:03

    為什么異步fifo中讀地址同步在寫時(shí)鐘域時(shí)序分析通過(guò)?

    為什么異步fifo中讀地址同步在寫時(shí)鐘域時(shí)序分析通過(guò)? 異步FIFO中讀地址同步在寫時(shí)鐘域時(shí)序分析
    的頭像 發(fā)表于 10-18 15:23 ?566次閱讀

    如何使用Verilog語(yǔ)言進(jìn)行仿真驗(yàn)證

    仿真驗(yàn)證主要作用是搭建一個(gè)測(cè)試平臺(tái),測(cè)試和驗(yàn)證程序設(shè)計(jì)的正確性,驗(yàn)證設(shè)計(jì)是否實(shí)現(xiàn)了我們所預(yù)期的功能。其結(jié)構(gòu)如下圖所示。
    的頭像 發(fā)表于 10-02 16:29 ?1414次閱讀
    如何使用Verilog語(yǔ)言進(jìn)行仿真<b class='flag-5'>驗(yàn)證</b>

    集成邏輯分析儀(ILA)的使用方法

    在日常FPGA開(kāi)發(fā)過(guò)程中,邏輯代碼設(shè)計(jì)完成后,為了驗(yàn)證代碼邏輯的正確性,優(yōu)先使用邏輯仿真(modesim)進(jìn)行驗(yàn)證。仿真驗(yàn)證通過(guò)后進(jìn)行板級(jí)
    的頭像 發(fā)表于 10-01 17:08 ?3560次閱讀
    集成邏輯<b class='flag-5'>分析</b>儀(ILA)的使用方法