0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

數(shù)字硬件建模SystemVerilog-組合邏輯建模(1)連續(xù)賦值語句

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2022-12-07 15:31 ? 次閱讀

數(shù)字門級電路可分為兩大類:組合邏輯和時序邏輯。鎖存器是組合邏輯和時序邏輯的一個交叉點(diǎn),在后面會作為單獨(dú)的主題處理。

組合邏輯描述了門級電路,其中邏輯塊的輸出直接反映到該塊的輸入值的組合,例如,雙輸入AND門的輸出是兩個輸入的邏輯與。如果輸入值發(fā)生變化,輸出值將反映這一變化,組合邏輯的RTL模型需要反映這種門級行為,這意味著邏輯塊的輸出必須始終反映該邏輯塊當(dāng)前輸入值的組合。

SystemVerilog有三種在可綜合RTL級別表示組合邏輯的方法:連續(xù)賦值語句、always程序塊和函數(shù)。接下來幾篇文章將探討每種編碼風(fēng)格,并推薦最佳實(shí)踐編碼風(fēng)格。

0aaaae96-7600-11ed-8abf-dac502259ad0.png

連續(xù)賦值語句(布爾表達(dá)式)

連續(xù)賦值語句將表達(dá)式或操作結(jié)果驅(qū)動到網(wǎng)絡(luò)或變量上,顯式連續(xù)賦值語句是以assign關(guān)鍵字開始的語句。連續(xù)賦值語句的一個簡單示例:

0ade14b6-7600-11ed-8abf-dac502259ad0.png

賦值的左邊,即上面的sum;在上面的例子中,每當(dāng)右邊的值發(fā)生任何變化時,即在上面的例子中a或b發(fā)生變化時,sum就會更新。每當(dāng)右邊發(fā)生變化時,左邊的這種持續(xù)更新行為就是組合邏輯行為的模型。

連續(xù)賦值語句允許在右側(cè)發(fā)生更改和左側(cè)更新之間指定傳播延遲。然而,綜合編譯器預(yù)期RTL模型為零延遲,并且會忽略連續(xù)賦值語句中的延遲。這可能會導(dǎo)致經(jīng)過延遲驗(yàn)證的設(shè)計(jì)與忽略延遲的綜合實(shí)現(xiàn)之間不匹配。本系列文章只展示零延遲示例。

左側(cè)類型。連續(xù)賦值語句的左側(cè)可以是標(biāo)量(1位)或向量,也可以是變量類型,也可以是用戶定義的類型。左側(cè)不能是未壓縮的的結(jié)構(gòu)體或未壓縮的數(shù)組。

在連續(xù)賦值語句的左側(cè)使用網(wǎng)絡(luò)或變量之間有一個重要區(qū)別:

  • 網(wǎng)絡(luò)類型(如wire或tri)可以由多個源驅(qū)動,包括多個連續(xù)分配、多個模塊或基本實(shí)例的輸出或輸入端口連接,或驅(qū)動的任意組合。

  • 變量類型(如var或int)只能從單個源分配一個值,可以是:單個輸入端口、單個連續(xù)賦值語句或任意數(shù)量的過程賦值(多個過程賦值被視為單個源;綜合器要求多個過程賦值在同一個過程中)。

請注意,logic關(guān)鍵字推斷出一種數(shù)據(jù)類型,但其本身不是網(wǎng)絡(luò)或變量類型。當(dāng)logic本身被使用時,一個變量被推斷出來,并附帶單個源賦值限制)。當(dāng)使用logic關(guān)鍵字聲明輸出模塊端口時,也會推斷出一個變量。當(dāng)使用logic關(guān)鍵字聲明輸入或inout模塊端口時,將推斷出具有多個驅(qū)動程序功能的wire類型。

最佳實(shí)踐指南7-1
在連續(xù)賦值的左側(cè)使用變量,為防止無意中出現(xiàn)多個驅(qū)動,只有打算讓一個信號有多個驅(qū)動時,才在左側(cè)使用wire或tri。

僅當(dāng)需要多個驅(qū)動時使用網(wǎng)絡(luò)類型(如wire或tri),例如共享總線、三態(tài)總線或inout雙向模塊端口。

對于RTL建模,語義規(guī)則的一個重要優(yōu)點(diǎn)是變量只能有一個來源。ASICFPGA設(shè)備中的大多數(shù)信號大多數(shù)為單源邏輯,但三態(tài)總線和雙向端口除外。變量的單源限制有助于防止無意中的編碼錯誤,如果對具有變量類型的同一信號進(jìn)行多個連續(xù)賦值語句或連接,則多源編碼錯誤將在仿真和綜合中報(bào)告為編譯或布線錯誤。

向量寬度不匹配。連續(xù)賦值語句的左側(cè)可以是與右側(cè)的信號或表達(dá)式結(jié)果不同寬度的向量大小。出現(xiàn)這種情況時,SystemVerilog會自動調(diào)整右側(cè)的向量寬度,以匹配左側(cè)的大小。如果右側(cè)的向量寬度大于左側(cè),則右側(cè)的最高有效位將被截?cái)酁樽髠?cè)的大小。如果右側(cè)是較小的向量寬度大小,則右側(cè)值將向左擴(kuò)展到左側(cè)的大小。如果表達(dá)式或運(yùn)算結(jié)果是無符號的,則左擴(kuò)展將用0擴(kuò)展。如果右側(cè)表達(dá)式或運(yùn)算結(jié)果是有符號的,則將使用符號擴(kuò)展。

最佳實(shí)踐指南7-2
確保連續(xù)賦值語句和程序賦值的兩側(cè)向量寬度相同。避免左側(cè)向量大小和右側(cè)向量大小不匹配 。

在一些特例的情況下,賦值的右側(cè)和左側(cè)有不同大小的向量。這方面的一個例子是變量旋轉(zhuǎn)操作(variable rotate operation)前面有介紹過,可以查看之前的文章。

顯式和隱式推斷的連續(xù)賦值語句

連續(xù)賦值語句有兩種形式:顯式連續(xù)賦值語句和隱式連續(xù)賦值語句。顯式連續(xù)賦值語句是用assign關(guān)鍵字聲明的,如前面的代碼段和示例所示。這種形式的連續(xù)賦值語句既可以賦值給網(wǎng)絡(luò)類型,也可以賦值給變量類型。隱式連續(xù)賦值語句將網(wǎng)絡(luò)類型的聲明與連續(xù)賦值語句相結(jié)合。即使未使用assign關(guān)鍵字,這種形式的連續(xù)性質(zhì)也是可以推斷出來的。

推斷網(wǎng)絡(luò)聲明賦值示例如下:

0af413c4-7600-11ed-8abf-dac502259ad0.png

請注意,推斷網(wǎng)絡(luò)聲明賦值語句與變量初始化語句不同,例如:

0b1041ca-7600-11ed-8abf-dac502259ad0.png

變量初始化只執(zhí)行一次,而推斷網(wǎng)絡(luò)聲明賦值是一個過程,每當(dāng)右側(cè)表達(dá)式上的值發(fā)生變化時,就會更新左側(cè)網(wǎng)絡(luò)。推斷網(wǎng)絡(luò)聲明賦值語句是可綜合的。

多次連續(xù)賦值語句

一個模塊可以包含任意數(shù)量的連續(xù)賦值語句。每個連續(xù)賦值語句都是一個單獨(dú)的過程,與其他連續(xù)賦值語句并行運(yùn)行。所有連續(xù)賦值語句從仿真時間零點(diǎn)開始計(jì)算右側(cè)運(yùn)算,并運(yùn)行到仿真結(jié)束。

一個模塊中的多個過程分配可用于表示數(shù)據(jù)流行為,其中功能是用布爾方程建模的,布爾方程使用SystemVerilog操作符產(chǎn)生輸出,而不是使用過程編程語句。在RTL模型中,數(shù)據(jù)流賦值表示數(shù)據(jù)在寄存器之間流動的組合邏輯。

下面的示例使用連續(xù)賦值語句來仿真通過加法器、乘法器和減法器的數(shù)據(jù)流。該數(shù)據(jù)流的結(jié)果在時鐘每個正邊緣被存儲在寄存器中:

示例7-1:帶寄存器輸出的加、乘、減數(shù)據(jù)流處理
//`begin_keywords"1800-2012"//useSystemVerilog-2012keywords
moduledataflow
#(parameterN=4)//bussize
(inputlogicclk,//scalarinput
inputlogic[N-1:0]a,b,c,//scalableinputsize
inputlogic[1:0]factor,//fixedinputsize
outputlogic[N-1:0]out//scalableoutputsize
);
timeunit1ns;timeprecision1ns;

logic[N-1:0]sum,diff,prod;

assignsum=a+b;
assigndiff=prod-c;
assignprod=sum*factor;

always@(posedgeclk)
out<=?diff;

endmodule:?dataflow
//`end_keywords

因?yàn)槟K中的多個連續(xù)賦值語句并行運(yùn)行,所以RTL源代碼中賦值的順序沒有區(qū)別。這可以通過比較示例7-1中連續(xù)賦值語句的順序和圖7-1所示的綜合結(jié)果中的數(shù)據(jù)流順序看出來。RTL代碼按加法、減法、乘法的順序列出賦值語句,但操作的數(shù)據(jù)流是加法、乘法、減法。

0b1f3be4-7600-11ed-8abf-dac502259ad0.png
圖7-1:示例7-1的綜合結(jié)果

同時使用連續(xù)賦值語句和always程序

一個模塊可以包含連續(xù)賦值語句和always程序的組合。

下面的簡單示例演示了一個帶有雙向數(shù)據(jù)總線的靜態(tài)RAM。當(dāng)從RAM讀取數(shù)據(jù)時,數(shù)據(jù)總線作為輸出端口被驅(qū)動——當(dāng)不被讀取時,數(shù)據(jù)總線被分配高阻態(tài),以便其他設(shè)備可以驅(qū)動該總線,連續(xù)賦值語句用于仿真輸出功能,以及always程序用于仿真輸入功能(方便在時鐘上升沿觸發(fā))。

0b5a5b48-7600-11ed-8abf-dac502259ad0.png

數(shù)據(jù)總線是一個雙向inout端口,必須是網(wǎng)絡(luò)類型,如wire或tri,才能有多個驅(qū)動源。當(dāng)數(shù)據(jù)總線是RAM的輸出時,它可以由RAM驅(qū)動,當(dāng)數(shù)據(jù)總線是RAM的輸入時,它可以由其他模塊驅(qū)動。只有連續(xù)賦值語句才能分配給網(wǎng)絡(luò)數(shù)據(jù)類型。

每個連續(xù)賦值語句和每個always程序都是一個單獨(dú)的并行過程,從仿真時間零點(diǎn)開始,在整個仿真過程中運(yùn)行。模塊中連續(xù)賦值語句和always程序的順序并不重要,因?yàn)檫@些程序是并行運(yùn)行的。

審核編輯 :李倩



聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 鎖存器
    +關(guān)注

    關(guān)注

    8

    文章

    903

    瀏覽量

    41314
  • 函數(shù)
    +關(guān)注

    關(guān)注

    3

    文章

    4237

    瀏覽量

    61965
  • 數(shù)據(jù)總線
    +關(guān)注

    關(guān)注

    2

    文章

    55

    瀏覽量

    17491

原文標(biāo)題:數(shù)字硬件建模SystemVerilog-組合邏輯建模(1)連續(xù)賦值語句

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    通向數(shù)字創(chuàng)新之路:25個組合電路核心主題概念

    組合電路是數(shù)字系統(tǒng)的基礎(chǔ)構(gòu)建模塊。深入理解以下25個主題,將有助于全面掌握組合電路的原理和應(yīng)用:01.布爾代數(shù)布爾代數(shù)是數(shù)字
    的頭像 發(fā)表于 08-15 18:28 ?407次閱讀
    通向<b class='flag-5'>數(shù)字</b>創(chuàng)新之路:25個<b class='flag-5'>組合</b>電路核心主題概念

    Python建模算法與應(yīng)用

    Python作為一種功能強(qiáng)大、免費(fèi)、開源且面向?qū)ο蟮木幊陶Z言,在科學(xué)計(jì)算、數(shù)學(xué)建模、數(shù)據(jù)分析等領(lǐng)域展現(xiàn)出了卓越的性能。其簡潔的語法、對動態(tài)輸入的支持以及解釋性語言的本質(zhì),使得Python在多個平臺
    的頭像 發(fā)表于 07-24 10:41 ?257次閱讀

    3d場景建模可視化,場景1:1還原

    在當(dāng)今數(shù)字化時代,3D場景建模可視化技術(shù)成為重要工具,為各行業(yè)提供了強(qiáng)大的展示和交互手段。從電影特效到建筑設(shè)計(jì),從游戲開發(fā)到虛擬現(xiàn)實(shí),3D場景建模可視化已經(jīng)深入到我們生活的各個領(lǐng)域。本文將深入探討
    的頭像 發(fā)表于 07-12 14:49 ?138次閱讀

    cad如何進(jìn)行三維建模

    三維建模是計(jì)算機(jī)輔助設(shè)計(jì)(CAD)中的一項(xiàng)重要技術(shù),它可以幫助設(shè)計(jì)師在計(jì)算機(jī)上創(chuàng)建和編輯三維模型。本文將介紹如何使用CAD軟件進(jìn)行三維建模,包括建模的基本步驟、建模技巧和注意事項(xiàng)等。
    的頭像 發(fā)表于 07-09 10:23 ?433次閱讀

    隧道BIM如何設(shè)計(jì)和建模

    、協(xié)作工作、優(yōu)化設(shè)計(jì),并最大程度地提高項(xiàng)目效率和質(zhì)量。下面是關(guān)于如何設(shè)計(jì)和建模隧道BIM的詳細(xì)內(nèi)容: 1.數(shù)據(jù)采集與建模需求確定:在設(shè)計(jì)之初,需要收集并整合地形、地質(zhì)、氣象等方面的數(shù)據(jù),并確定設(shè)計(jì)需求和目標(biāo)。這些數(shù)據(jù)將為后續(xù)BI
    的頭像 發(fā)表于 06-04 15:54 ?277次閱讀

    gis建模與空間分析的區(qū)別

    進(jìn)行比較和解析。 首先,GIS建模是指將現(xiàn)實(shí)世界的地理實(shí)體和現(xiàn)象通過計(jì)算機(jī)技術(shù)和方法表達(dá)出來,用數(shù)字化的方式模擬、描述和分析。GIS建模可以分為兩個方面,即地理數(shù)據(jù)模型和地理過程模型。地理數(shù)據(jù)模型是指通過特定的數(shù)據(jù)結(jié)構(gòu)和模型來
    的頭像 發(fā)表于 02-25 14:57 ?728次閱讀

    assign語句和always語句的用法

    Assign語句和Always語句是在硬件描述語言(HDL)中常用的兩種語句,用于對數(shù)字電路建模
    的頭像 發(fā)表于 02-22 16:24 ?1541次閱讀

    SaberRD狀態(tài)機(jī)建模工具介紹(一)什么是狀態(tài)機(jī)建模

    狀態(tài)機(jī)建模是使用狀態(tài)圖和方程式的手段,創(chuàng)建基于混合信號的有限狀態(tài)機(jī)模型的一種建模工具。
    的頭像 發(fā)表于 12-05 09:51 ?1288次閱讀
    SaberRD狀態(tài)機(jī)<b class='flag-5'>建模</b>工具介紹(一)什么是狀態(tài)機(jī)<b class='flag-5'>建模</b>

    ESL事務(wù)級建模語言簡介

    任何系統(tǒng)級建模語言,都需要具備在較高層次的抽象能力和對不同來源的IP的集成能力。建模方法的選擇通常基于語言熟悉程度、建模支持、模型可用性和簡單性。 在各種軟硬件描述語言中,Verilo
    的頭像 發(fā)表于 11-02 15:10 ?575次閱讀

    ESL設(shè)計(jì)中事務(wù)級建模的一般理論

    在更詳細(xì)地描述事務(wù)級建模之前,首先介紹在事務(wù)級建模中用到的一些術(shù)語。首先事務(wù)表示數(shù)據(jù)和事件的交換過程。各個連續(xù)的事務(wù)可以是不同大小的數(shù)據(jù)傳輸,也可以是在系統(tǒng)同步時用來調(diào)整或管理模塊之間行為
    的頭像 發(fā)表于 11-02 14:48 ?479次閱讀

    ESL設(shè)計(jì)的核心——事務(wù)級建模介紹

    設(shè)計(jì)、軟硬件劃分、軟硬件協(xié)同設(shè)計(jì)和驗(yàn)證,都離不開事務(wù)級建模。 在系統(tǒng)級的設(shè)計(jì)中,首先要解決的問題是如何描述系統(tǒng)也就是所謂系統(tǒng)建模。在當(dāng)前的集成電路設(shè)計(jì)中,算法層次上建立的功能模型(AL
    的頭像 發(fā)表于 11-02 14:38 ?1046次閱讀

    SystemVerilog相比于Verilog的優(yōu)勢

    和always_latch。always_ff用于描述時序邏輯,對應(yīng)FPGA中的觸發(fā)器,其內(nèi)部應(yīng)使用非阻塞(
    的頭像 發(fā)表于 10-26 10:05 ?707次閱讀
    <b class='flag-5'>SystemVerilog</b>相比于Verilog的優(yōu)勢

    SystemVerilog硬件設(shè)計(jì)部分有哪些優(yōu)勢

    Language,硬件描述語言),而SystemVerilog則是HDVL(Hardware Design and Verification Language,硬件設(shè)計(jì)與驗(yàn)證語言)。由此可見,
    的頭像 發(fā)表于 10-19 11:19 ?942次閱讀
    <b class='flag-5'>SystemVerilog</b>在<b class='flag-5'>硬件</b>設(shè)計(jì)部分有哪些優(yōu)勢

    SystemVerilog:處理信號雙驅(qū)動問題解析

    SystemVerilog中,類型可以分為線網(wǎng)(net)和變量(variable)。線網(wǎng)的賦值設(shè)定與Verilog的要求相同,即線網(wǎng)賦值需要使用連續(xù)
    的頭像 發(fā)表于 10-13 14:53 ?1725次閱讀
    <b class='flag-5'>SystemVerilog</b>:處理信號雙驅(qū)動問題解析

    射頻功放的建模資料

    隨著通信技術(shù)的發(fā)展,射頻電路在通信系統(tǒng)中得到了廣泛的應(yīng)用。功率放大器的研究和設(shè)計(jì)一直是通信發(fā)展中的重要課題。近年來,基于模糊神經(jīng)網(wǎng)絡(luò)的射頻器件和電路建模的研究取得了巨大的成果,對大規(guī)模集成電路和復(fù)雜電路的建模有著巨大的啟發(fā)意義, 成為當(dāng)今研究的熱點(diǎn)之一,本文將基于這個理論
    發(fā)表于 09-22 07:22