0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何管理約束文件?

FPGA技術(shù)驛站 ? 來(lái)源:FPGA技術(shù)驛站 ? 作者:FPGA技術(shù)驛站 ? 2022-12-08 13:48 ? 次閱讀

約束文件是FPGA設(shè)計(jì)中不可或缺的源文件。那么如何管理好約束文件呢? 到底設(shè)置幾個(gè)約束文件? 通常情況下,設(shè)計(jì)中的約束包括時(shí)序約束和物理約束。前者包括時(shí)鐘周期約束、輸入/輸出延遲約束、多周期路徑約束和偽路徑約束。后者包括管腳分配、管腳電平等。除此之外,有些情況下還包括用于設(shè)計(jì)調(diào)試的約束(設(shè)置ILA屬性)或位置約束或通過(guò)Pblock執(zhí)行的面積約束。Xilinx建議將約束分類寫(xiě)入不同的文件中,典型的場(chǎng)景是:時(shí)序約束放在一個(gè)文件里,物理約束放在一個(gè)文件里。如下圖所示。圖中wave_gen_timing.xdc中寫(xiě)的是時(shí)序約束,wave_gen_pins.xdc中寫(xiě)的是管腳分配。如果有ILA,可將ILA相關(guān)信息寫(xiě)入單獨(dú)的文件中,這樣的好處是當(dāng)后期不再需要調(diào)試時(shí),直接將該文件移除或點(diǎn)右鍵選擇DisableFile,而不用一行行注釋。

07d47a68-76a9-11ed-8abf-dac502259ad0.png

用于OOC綜合的約束 Vivado支持對(duì)IP、BlockDesign進(jìn)行OOC(Out-of-Context)綜合。同時(shí),Vivado還可對(duì)指定的用戶模塊進(jìn)行OOC綜合,這時(shí)可對(duì)該模塊指定OOC綜合時(shí)用到的約束文件。這可通過(guò)xdc文件屬性USED_IN設(shè)定,將其值設(shè)置為{synthesis,out_of_context},如下圖所示。

07ef3e3e-76a9-11ed-8abf-dac502259ad0.png

設(shè)置約束的生效階段

默認(rèn)情況下,添加到Vivado工程中的xdc文件既用于綜合階段也用于布局布線階段。但事實(shí)上,有些約束僅在布局布線階段有效,例如管腳分配,這時(shí)可通過(guò).xdc文件屬性設(shè)定其使用階段,如下圖所示。

080a6f42-76a9-11ed-8abf-dac502259ad0.png

即使對(duì)于時(shí)序約束,也只有如下幾條命令且與建立時(shí)間相關(guān)時(shí)綜合階段才起作用,這也是為什么我們?cè)诜治鼍C合后的設(shè)計(jì)時(shí)只關(guān)注建立時(shí)間違例而忽略保持時(shí)間違例。

0829e1c4-76a9-11ed-8abf-dac502259ad0.png

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598879
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2136

    瀏覽量

    120376
  • 文件
    +關(guān)注

    關(guān)注

    1

    文章

    551

    瀏覽量

    24559
  • 約束
    +關(guān)注

    關(guān)注

    0

    文章

    82

    瀏覽量

    12682

原文標(biāo)題:如何管理約束文件?

文章出處:【微信號(hào):Lauren_FPGA,微信公眾號(hào):FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    《EDA工具手冊(cè)》約束管理器分冊(cè)

    第一章 約束管理器介紹41.1約束管理器簡(jiǎn)介41.2約束管理器界面簡(jiǎn)介81.2.1workshe
    發(fā)表于 11-10 12:30

    【FPGA學(xué)習(xí)】如何使用 ISE 編寫(xiě)約束文件

    3-56 所示。一般使用 Constraints Editor 編輯約束文件,啟動(dòng) Constraints Editor 的方法是雙擊源代碼管理區(qū)中約束
    發(fā)表于 09-29 09:18

    PADS約束管理器不能用

    請(qǐng)問(wèn)下padsVX2.2的約束管理器,為什么不能用呢?難道要正版的許可文件?
    發(fā)表于 04-11 02:55

    PADS約束管理使用指南

    PADS 擁有功能強(qiáng)大且簡(jiǎn)單易用的約束管理系統(tǒng),適用于創(chuàng)建、評(píng)審和驗(yàn)證 PCB 設(shè)計(jì)約束
    發(fā)表于 09-16 08:10

    請(qǐng)問(wèn)時(shí)序約束文件SDC支持哪些約束

    時(shí)序約束文件SDC支持哪些約束?
    發(fā)表于 08-11 09:27

    賽靈思(Xilinx)FPGA用戶約束文件的分類和語(yǔ)法說(shuō)明

    FPGA設(shè)計(jì)中的約束文件有3類:用戶設(shè)計(jì)文件(.UCF文件)、網(wǎng)表約束文件(.NCF
    發(fā)表于 02-11 06:33 ?1590次閱讀

    如何為密集的高約束PCB設(shè)計(jì)創(chuàng)建和管理約束

    本視頻將會(huì)概述基本的約束管理概念,并演示如何為密集的高約束 PCB 設(shè)計(jì)創(chuàng)建和管理約束。
    的頭像 發(fā)表于 05-17 06:01 ?1983次閱讀
    如何為密集的高<b class='flag-5'>約束</b>PCB設(shè)計(jì)創(chuàng)建和<b class='flag-5'>管理</b><b class='flag-5'>約束</b>

    如何創(chuàng)建和管理約束密集型、高度約束的PCB設(shè)計(jì)

    這個(gè)視頻概述基本約束管理的概念和演示了如何創(chuàng)建和管理約束密集、高度受限的PCB設(shè)計(jì)。
    的頭像 發(fā)表于 11-07 07:08 ?2645次閱讀

    PADS約束管理系統(tǒng)創(chuàng)建、審查和驗(yàn)證PCB設(shè)計(jì)約束

    墊標(biāo)準(zhǔn)+和墊專業(yè)使用的強(qiáng)大和易于使用的約束管理系統(tǒng)創(chuàng)建、評(píng)審和驗(yàn)證PCB設(shè)計(jì)約束。
    的頭像 發(fā)表于 11-04 07:02 ?1631次閱讀

    易于使用的pads約束管理標(biāo)準(zhǔn)

    增加你的效率和生產(chǎn)率。創(chuàng)造和捕捉設(shè)計(jì)約束與無(wú)壓力墊約束管理標(biāo)準(zhǔn)。
    的頭像 發(fā)表于 11-01 07:09 ?1995次閱讀

    約束管理器節(jié)省設(shè)計(jì)時(shí)間

    如果相同的模板需要在多個(gè)項(xiàng)目,快速導(dǎo)入保存約束模板可以節(jié)省寶貴的時(shí)間。在墊VX.2.5,用戶現(xiàn)在可以輕松地導(dǎo)入和導(dǎo)出其約束模板從一個(gè)項(xiàng)目到下一個(gè)。而不是創(chuàng)建模板一遍又一遍,約束管理器可
    的頭像 發(fā)表于 10-24 07:00 ?1758次閱讀

    Vivado IDE全面了解XDC文件約束順序

    Vivado IDE約束管理器將任何已編輯的約束保存回XDC文件中的原始位置,但不會(huì)保存在Tcl腳本中。 任何新約束都保存在標(biāo)記為目標(biāo)的XD
    的頭像 發(fā)表于 11-13 10:53 ?3979次閱讀
    Vivado IDE全面了解XDC<b class='flag-5'>文件</b>的<b class='flag-5'>約束</b>順序

    Vivado中XDC文件約束順序

    很對(duì)人在使用Vivado時(shí)喜歡使用多個(gè)約束文件對(duì)整個(gè)工程進(jìn)行約束,同時(shí)Vivado允許設(shè)計(jì)者使用一個(gè)或多個(gè)約束文件。雖然使用一個(gè)
    的頭像 發(fā)表于 10-13 16:56 ?6712次閱讀

    Vivado設(shè)計(jì)約束功能概述

    XDC約束可以用一個(gè)或多個(gè)XDC文件,也可以用Tcl腳本實(shí)現(xiàn);XDC文件或Tcl腳本都要加入到工程的某個(gè)約束集(set)中;雖然一個(gè)約束集可
    的頭像 發(fā)表于 06-30 11:27 ?3527次閱讀

    約束管理器.zip

    約束管理
    發(fā)表于 12-30 09:22 ?2次下載