0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

SystemVerilog中的電平敏感事件控制

芯片驗證工程師 ? 來源:芯片驗證工程師 ? 作者:芯片驗證工程師 ? 2022-12-12 09:58 ? 次閱讀

verilog中絕大多數(shù)使用的都是邊沿敏感事件,例如@(posedge event)@(negedgeevent)。

在SystemVerilog中使用電平敏感事件控制的語法關鍵詞是“wait”。


“wait”不是去檢測一個邊沿事件的發(fā)生,而是去等待一個條件的滿足,否則會一直仿真進程阻塞。

module level;
 int a;
 
 initial
 begin
 # 10; 
 wait (a == 1);
 $display($stime,,, "wait complete");
 end
 
 initial begin
 a = 1;
 end
endmodule

仿真log:

wait complete
V C S S i m u l a t i o n R e p o r t

在這個例子中,我們使用“wait”等待a的值為1,只要在語句“wait (a == 1);” 后面時間滿足條件才會仿真繼續(xù)執(zhí)行。

因為在時間0,a賦值為1,所有在時間10就打印了“wait complete”。

但是,如果我們使用的是@(posedge a),實際上在這個例子當中是永遠等待不到的。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關注

    關注

    28

    文章

    1333

    瀏覽量

    109718
  • System
    +關注

    關注

    0

    文章

    163

    瀏覽量

    36744

原文標題:SystemVerilog中的電平敏感事件控制

文章出處:【微信號:芯片驗證工程師,微信公眾號:芯片驗證工程師】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    SystemVerilog的Virtual Methods

    SystemVerilog多態(tài)能夠工作的前提是父類的方法被聲明為virtual的。
    發(fā)表于 11-28 11:12 ?626次閱讀

    SystemVerilog的“const”類屬性

    SystemVerilog可以將類屬性聲明為常量,即“只讀”。目的就是希望,別人可以讀但是不能修改它的值。
    發(fā)表于 11-29 10:25 ?2007次閱讀

    SystemVerilog的聯(lián)合(union)介紹

    SystemVerilog ,聯(lián)合只是信號,可通過不同名稱和縱橫比來加以引用。
    的頭像 發(fā)表于 10-08 15:45 ?1094次閱讀
    <b class='flag-5'>SystemVerilog</b><b class='flag-5'>中</b>的聯(lián)合(union)介紹

    組合邏輯的always后面的敏感事件表是高電平觸發(fā)還是電平發(fā)生翻轉的時候觸發(fā)?

    如題,組合邏輯的always后面的敏感事件表是高電平觸發(fā)還是電平發(fā)生翻轉的時候觸發(fā)?感覺兩者說法都過的去,求正確的說法。
    發(fā)表于 06-08 11:00

    SystemVerilog的斷言手冊

    SystemVerilog Assertion Handbook1 ROLE OF SYSTEMVERILOG ASSERTIONSIN A VERIFICATION METHODOLOGY
    發(fā)表于 07-22 14:12 ?20次下載

    SystemVerilog對于process的多種控制方式

    Block,也就是語句塊,SystemVerilog提供了兩種類型的語句塊,分別是begin…end為代表的順序語句塊,還有以fork…join為代表的并發(fā)語句塊。
    的頭像 發(fā)表于 09-14 10:27 ?1069次閱讀

    SystemVerilog$cast的應用

    SystemVerilog casting意味著將一種數(shù)據(jù)類型轉換為另一種數(shù)據(jù)類型。在將一個變量賦值給另一個變量時,SystemVerilog要求這兩個變量具有相同的數(shù)據(jù)類型。
    的頭像 發(fā)表于 10-17 14:35 ?2584次閱讀

    SystemVerilog的操作方法

    SystemVerilog提供了幾個內(nèi)置方法來支持數(shù)組搜索、排序等功能。
    的頭像 發(fā)表于 10-31 10:10 ?2501次閱讀

    SystemVerilog可以嵌套的數(shù)據(jù)結構

    SystemVerilog除了數(shù)組、隊列和關聯(lián)數(shù)組等數(shù)據(jù)結構,這些數(shù)據(jù)結構還可以嵌套。
    的頭像 發(fā)表于 11-03 09:59 ?1465次閱讀

    SystemVerilog的package

    SystemVerilog packages提供了對于許多不同數(shù)據(jù)類型的封裝,包括變量、task、function、assertion等等,以至于可以在多個module中共享。
    的頭像 發(fā)表于 11-07 09:44 ?1100次閱讀

    SystemVerilog的struct

    SystemVerilog“struct”表示相同或不同數(shù)據(jù)類型的集合。
    的頭像 發(fā)表于 11-07 10:18 ?2229次閱讀

    SystemVerilog的Shallow Copy

    SystemVerilog的句柄賦值和對象復制的概念是有區(qū)別的。
    的頭像 發(fā)表于 11-21 10:32 ?771次閱讀

    SystemVerilog的Semaphores

    SystemVerilogSemaphore(旗語)是一個多個進程之間同步的機制之一,這里需要同步的原因是這多個進程共享某些資源。
    的頭像 發(fā)表于 12-12 09:50 ?3091次閱讀

    帶你了解SystemVerilog的關聯(lián)數(shù)組

    SystemVerilog,我們知道可以使用動態(tài)數(shù)組實現(xiàn)數(shù)組元素個數(shù)的動態(tài)分配,即隨用隨分
    的頭像 發(fā)表于 06-09 09:46 ?6768次閱讀
    帶你了解<b class='flag-5'>SystemVerilog</b><b class='flag-5'>中</b>的關聯(lián)數(shù)組

    Systemverilog的Driving Strength講解

    systemverilog,net用于對電路連線進行建模,driving strength(驅動強度)可以讓net變量值的建模更加精確。
    的頭像 發(fā)表于 06-14 15:50 ?1262次閱讀
    <b class='flag-5'>Systemverilog</b><b class='flag-5'>中</b>的Driving Strength講解