0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

?構建自定義AXI4-Stream FIR濾波器

FPGA技術江湖 ? 來源:OpenFPGA ? 2023-01-06 09:31 ? 次閱讀

AMD-Xilinx 的 Vivado 開發(fā)工具具有很多方便FPGA開發(fā)功能,我最喜歡的功能之一是block design的設計流程。Vivado 中的block design是使用RTL IP形式的圖形表示進行設計,在block design中使用 RTL 模塊的方便之處在于,它將自動檢測某些類型的信號,例如時鐘、復位和總線接口,然后,檢測這些信號進行IP間的自動化連接。Vivado 中包含大量預構建 IP 模塊(官方IP)。

為了方便用戶進行相關設計,Vivado 提供了一個內(nèi)置的 IP 封裝編輯器工具,它可以為 AXI IP 生成框架,只需將自己的 RTL 代碼插入其中。同時也提供了相關的驅動文件,可以在Vitis中方便調(diào)試。

為了演示究竟上面流程“好”在哪里,接下來我們創(chuàng)建一個AXI FIR IP 模塊,然后將IP添加到我們設計中最后驗證功能。

創(chuàng)建 Vivado 項目

首先,啟動 Vivado 并針對自己的FPGA創(chuàng)建一個新項目。

1e2fa64c-8d57-11ed-bfe3-dac502259ad0.png1e6522ea-8d57-11ed-bfe3-dac502259ad0.png

添加 MicroBlaze,對于這個項目來說,使用 BRAM 就足夠了。

選擇 Add IP 選項并搜索 MicroBlaze,將其添加到模塊設計中并運行 Block Automation,該模塊會在窗口頂部的綠色橫幅中彈出。接下來添加中斷控制器。

1e737f66-8d57-11ed-bfe3-dac502259ad0.png1ea78dce-8d57-11ed-bfe3-dac502259ad0.png1eb60f8e-8d57-11ed-bfe3-dac502259ad0.png

驗證并保存塊設計,接下來我們將開始我們的IP設計。

創(chuàng)建新的 AXI4 外設

要使用自己的 RTL 創(chuàng)建新的 AXI 外設并將其封裝為 IP ,請選擇工具>創(chuàng)建和打包新 IP...

1f609b70-8d57-11ed-bfe3-dac502259ad0.png

在彈出的窗口中,按照提示逐步瀏覽屏幕,選擇Create AXI4 Peripheral選項,指定 IP 塊的所需名稱、位置目錄以及 IP 將具有的 AXI 接口數(shù)量以及 AXI類型。

Vivado 中使用了三種主要類型的 AXI:

AXI4 (full)

AXI4-Lite

AXI4-Stream

每種類型都適合不同的用例,在這個項目中,我們正在創(chuàng)建自己的 AXI FIR 濾波器 IP 。由于 FIR 濾波器輸入并輸出具有已知數(shù)據(jù)包邊界和流量控制要求的恒定數(shù)據(jù)流,因此 AXI4-Stream 是最適合的類型。它將需要一個從接口來輸入數(shù)據(jù)樣本,并需要一個主接口來輸出處理后的樣本。

作為參考,F(xiàn)IR 是一個運行速度為 100 MS/s 的 LPF,具有 15 個抽頭,接收帶符號的 16 位數(shù)據(jù)并輸出帶符號的 32 位數(shù)據(jù)。它有一個從 1MHz 到 10MHz 的通帶和一個從 20MHz 開始的阻帶。

接下來,選擇編輯 IP并單擊完成。IP 包編輯 Vivado 項目將重新打開一個gui。

1f6b15d2-8d57-11ed-bfe3-dac502259ad0.png1f937720-8d57-11ed-bfe3-dac502259ad0.png1f9b0efe-8d57-11ed-bfe3-dac502259ad0.png1fc0eeda-8d57-11ed-bfe3-dac502259ad0.png1fca275c-8d57-11ed-bfe3-dac502259ad0.png1f6b15d2-8d57-11ed-bfe3-dac502259ad0.png

將自定義 RTL 添加到 AXI4-Stream IP

從Flow Navigator中選擇Add Sources添加我們要設計的 FIR Verilog 文件。

200e7ec0-8d57-11ed-bfe3-dac502259ad0.png

在使用 Add Files 選項指向 FIR Verilog 文件后,取消選中Scan and add RTL include files into project復選框。由于這不是一個普通的 Vivado 項目,并且 Verilog 代碼被打包到一個 IP 塊中,因此選中該選項后將引發(fā)錯誤。

2039f456-8d57-11ed-bfe3-dac502259ad0.png20575500-8d57-11ed-bfe3-dac502259ad0.png

添加后,源層次結構更新并且 FIR Verilog 文件獨立于 AXI Verilog 源文件。

20774a7c-8d57-11ed-bfe3-dac502259ad0.png

根據(jù)生成 IP 編輯器 Vivado 項目時所做的選擇,會為此 AXI4-Stream 外設生成三個 RTL 源文件:

從接口功能 RTL。

主接口功能 RTL。

具有物理接口聲明和主從接口實例化的頂層文件。

在設計之前,需要將幾個默認未啟用的可選 AXI4-Stream 接口信號添加到主 AXI 端口和從 AXI 端口。大多數(shù) DSP IP 模塊,例如 DDS 利用了 AXI4-Stream 額外的keep 和 tlast信號。

添加這些可選信號很簡單,但最初并不清楚。導航到Package IP窗口中的Customization Parameters選項卡。

2089b662-8d57-11ed-bfe3-dac502259ad0.png

主接口和從接口都需要添加它們,因此右鍵單擊每個接口并選擇Edit Interface 。

20b7fb08-8d57-11ed-bfe3-dac502259ad0.png

在“Parameters”選項卡中啟用HAS_TKEEP ,方法是單擊每個選項,然后單擊單個箭頭將其從左列移動到右列。

20d220dc-8d57-11ed-bfe3-dac502259ad0.png

然后切換到Port Mapping選項卡,將 tkeep 和 tlast 映射到 AXI IP 塊的物理接口。在Interface's Logical Ports列和IP's Physical Ports列中分別匹配 tkeep 和 tlast 信號。然后在下面的Mapped Ports Summary列中驗證它們的映射。

20ef7736-8d57-11ed-bfe3-dac502259ad0.png

由于默認情況下不啟用 tkeep,因此還必須手動將其端口聲明添加到所有三個 AXI 接口 RTL 源文件的用戶定義端口聲明部分。它還需要添加到頂級 AXI 包裝器中的 AXI 主接口和從接口實例中。

21123528-8d57-11ed-bfe3-dac502259ad0.png213c79f0-8d57-11ed-bfe3-dac502259ad0.png216ba838-8d57-11ed-bfe3-dac502259ad0.png21a5a40c-8d57-11ed-bfe3-dac502259ad0.png

在注釋Add user logic here標記的部分中實例化 FIR Verilog 模塊。

21bb5400-8d57-11ed-bfe3-dac502259ad0.png

現(xiàn)在,雖然 AXI 接口的基本功能分別在每個 RTL 源文件中自動生成,但如何從輸入數(shù)據(jù)流中提取數(shù)據(jù)并傳遞到自己的自定義邏輯中由自己來定義。以及如何將自定義邏輯的數(shù)據(jù)輸出饋送到主 AXI 接口進行輸出。因此,會在三個自動生成的 RTL 源文件中注意到相同的 Add user 邏輯。

21f5902a-8d57-11ed-bfe3-dac502259ad0.png225f7a08-8d57-11ed-bfe3-dac502259ad0.png

另一點需要注意的是,AXI4-Stream 接口的功能需要進行一些修改,以便將 tkeep 和 tlast 信號功能添加到主 AXI 接口和從 AXI 接口。

2291370a-8d57-11ed-bfe3-dac502259ad0.png

這個項目的最后一個獨特的要求是,因為這個邏輯的核心是一個 FIR 濾波器,所以主從 AXI 接口和 FIR 都需要由相同的時鐘作為 FIR 采樣時鐘。所以只是將從 AXI 接口時鐘傳遞給 FIR 和主 AXI 接口。

23020ab6-8d57-11ed-bfe3-dac502259ad0.png

封裝 AXI IP 塊

返回到 Package IP 窗口,讓我們?yōu)g覽每個選項卡并驗證,然后最終將其打包。

第一個選項卡中是輸出 AXI 外設 IP 包的基本顯示信息

23338ee2-8d57-11ed-bfe3-dac502259ad0.png

第二個標簽很重要。默認情況下,AXI 外設 IP 僅支持啟動 IP 包編輯器的主 Vivado 所針對的 FPGA 芯片系列。大多數(shù)時候,可能希望能夠將 AXI 外設 IP 塊與其他 Xilinx FPGA 芯片系列一起使用,就需要添加我們需要的目標FPGA芯片。

235f07ca-8d57-11ed-bfe3-dac502259ad0.png

對于其余選項卡,默認需求即可。

237ba9b6-8d57-11ed-bfe3-dac502259ad0.png23b5c9fc-8d57-11ed-bfe3-dac502259ad0.png23e25ca6-8d57-11ed-bfe3-dac502259ad0.png2416de4a-8d57-11ed-bfe3-dac502259ad0.png247a9246-8d57-11ed-bfe3-dac502259ad0.png

在最后一個選項卡中,單擊窗口底部的Package IP按鈕。將出現(xiàn)一個提示,詢問是否要關閉 IP 編輯器 Vivado 項目。

此時,F(xiàn)IR AXI IP 塊被打包并放置在 Package IP 窗口的第一個選項卡指定的目錄中的存儲庫中。

將自定義 AXI4-Stream FIR 添加到項目

現(xiàn)在自定義 FIR AXI IP 模塊已經(jīng)完成并封裝,我們可以返回原始 Vivado 項目,將其添加到模塊設計中。

我們的驗證項目如下:

24ab3216-8d57-11ed-bfe3-dac502259ad0.png

其中DDS IP設置如下:

24d210ca-8d57-11ed-bfe3-dac502259ad0.png24e5577a-8d57-11ed-bfe3-dac502259ad0.png24ffe73e-8d57-11ed-bfe3-dac502259ad0.png25614a4c-8d57-11ed-bfe3-dac502259ad0.png

創(chuàng)建仿真平臺

首先創(chuàng)建仿真文件,并在sim文件夾下的 Sources 選項卡中,右鍵單擊它并選擇Set as Top 。

257ed6ac-8d57-11ed-bfe3-dac502259ad0.png

在這種情況下,只需在塊設計中實例化塊設計,并為其提供差分系統(tǒng)時鐘和主 FPGA 復位。一旦接收到時鐘和復位信號,相位增量狀態(tài)機就會自行運行。

`timescale1ns/1ps

modulesp701_bd_tb;

regclk_p,clk_n,reset;

alwaysbegin
clk_p=1;clk_n=0;#5;
clk_p=0;clk_n=1;#5;
end

alwaysbegin
reset=1;#40;
reset=0;#1000000000;
end

sp701_bdsp701_bd_i(
.reset(reset),
.sys_diff_clock_clk_n(clk_p),
.sys_diff_clock_clk_p(clk_n)
);

endmodule

這里注意一下主 AXI 接口輸出信號和 tlast、tvalid 和 tdata 需要進行初始化。否則仿真將會報錯。

25a10e7a-8d57-11ed-bfe3-dac502259ad0.png

單擊Flow Navigator窗口中的Run Simulation并選擇Run Behavioral Simulation 。

25c6914a-8d57-11ed-bfe3-dac502259ad0.png

注意仿真結果顯示格式。

總結

上面的一些創(chuàng)建細節(jié)沒有全部展現(xiàn),因為本身對于IP的創(chuàng)建不是我們的核心,我們只想說明使用目前這種BD設計可以達達簡化設計,尤其是目前Verilog的一些缺點。

最后說一下調(diào)試中的注意點,就是使用ILA查看AXI-Stream輸出時候,會造成FIR的時序與采樣混淆導致 FIR 不再工作,可能會查看不到任何波形,使用AXIS的專用調(diào)試IP即可,后續(xù)我們在說明這方面的知識。

示例工程

https://github.com/suisuisi/AXI4-Stream-FIR-filter

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1620

    文章

    21510

    瀏覽量

    598937
  • amd
    amd
    +關注

    關注

    25

    文章

    5376

    瀏覽量

    133382
  • 濾波器
    +關注

    關注

    158

    文章

    7596

    瀏覽量

    176585
  • FIR
    FIR
    +關注

    關注

    4

    文章

    145

    瀏覽量

    32947
  • Vivado
    +關注

    關注

    19

    文章

    797

    瀏覽量

    65855

原文標題:示例工程

文章出處:【微信號:HXSLH1010101010,微信公眾號:FPGA技術江湖】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    請問AXI4-Stream到Video核心的技巧有什么?

    ,這是一個由數(shù)據(jù)視頻生成器卡攜帶的模塊。在這種情況下,我只使用了四個內(nèi)核來實現(xiàn)簡單設計,即(1)。視頻輸入到Axi4-Stream核心,(2)視頻縮放核心,(3)。 AXI4-Stream到Video
    發(fā)表于 11-08 09:53

    axi4-stream互連問題如何解決

    你好,大家好。我正在使用EMI14.4和xc6v315t。我正在嘗試模擬IP CORE.It的axi4-stream interconnect.I配置ip為6siand 4mi。但是當我用ismI模擬它時發(fā)現(xiàn)s_tready很低,有什么問題?
    發(fā)表于 06-18 15:08

    AXI-stream數(shù)據(jù)傳輸過程

    的數(shù)據(jù)流標識符。xilinx封裝的ip中沒有此信號?! ?.TDEST 用于提供路由信息,xilinx封裝的ip中沒有此信號?! ?0.TUSER AXI4協(xié)議留給用戶自定義的。xilinx封裝的ip中沒有
    發(fā)表于 01-08 16:52

    構建自定義AXI4-Stream FIR濾波器的步驟

    1、?構建自定義AXI4-Stream FIR濾波器  AMD-Xilinx 的 Vivado 開發(fā)工具具有很多方便FPGA開發(fā)功能,我最喜
    發(fā)表于 11-07 16:07

    自定義sobel濾波IP核,IP接口遵守AXI Stream協(xié)議

    自定義sobel濾波IP核 IP接口遵守AXI Stream協(xié)議
    的頭像 發(fā)表于 08-06 06:04 ?3788次閱讀

    AXI-Stream代碼

    AXI-Stream代碼詳解 AXI4-StreamAXI4的區(qū)別在于AXI4-Stream沒有ADDR接口,這樣就不涉及讀寫數(shù)據(jù)的概念了,只有簡單的發(fā)送與接收說法,減少了延時,允許
    的頭像 發(fā)表于 11-05 17:40 ?3364次閱讀
    <b class='flag-5'>AXI-Stream</b>代碼

    關于AXI4-Stream協(xié)議總結分享

    XI4-StreamAXI4的區(qū)別就是AXI4-Stream去除了地址線,這樣就不涉及讀寫數(shù)據(jù)的概念了,只有簡單的發(fā)送與接收說法,減少了延時。由于AXI4-Stream協(xié)議(amba
    的頭像 發(fā)表于 06-23 10:08 ?2161次閱讀

    AXI4-Stream Video 協(xié)議和AXI_VDMA的IP核介紹

    本文主要介紹關于AXI4-Stream Video 協(xié)議和AXI_VDMA的IP核相關內(nèi)容。為后文完成使用帶有HDMI接口的顯示構建圖像視頻顯示的測試工程做準備。
    的頭像 發(fā)表于 07-03 16:11 ?7907次閱讀

    AXI4 、 AXI4-Lite 、AXI4-Stream接口

    AXI4 是一種高性能memory-mapped總線,AXI4-Lite是一只簡單的、低通量的memory-mapped 總線,而 AXI4-Stream 可以傳輸高速數(shù)據(jù)流。從字面意思去理解
    的頭像 發(fā)表于 07-04 09:40 ?7825次閱讀

    ?構建自定義AXI4-Stream FIR濾波器

    為了方便用戶進行相關設計,Vivado 提供了一個內(nèi)置的 IP 封裝編輯工具,它可以為 AXI IP 生成框架,只需將自己的 RTL 代碼插入其中。同時也提供了相關的驅動文件,可以在Vitis中方便調(diào)試。
    的頭像 發(fā)表于 11-07 09:25 ?546次閱讀

    在Vivado中構建自定義AXI4-Stream FIR濾波器IP 1

    的圖形表示進行設計,在block design中使用 RTL 模塊的方便之處在于,它將自動檢測某些類型的信號,例如時鐘、復位和總線接口,然后,檢測這些信號進行IP間的自動化連接。Vivado 中包含大量預構建 IP 模塊(官方IP)。
    發(fā)表于 02-10 14:50 ?961次閱讀
    在Vivado中<b class='flag-5'>構建</b><b class='flag-5'>自定義</b><b class='flag-5'>AXI4-Stream</b> <b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>IP 1

    在Vivado中構建自定義AXI4-Stream FIR濾波器IP 2

    的圖形表示進行設計,在block design中使用 RTL 模塊的方便之處在于,它將自動檢測某些類型的信號,例如時鐘、復位和總線接口,然后,檢測這些信號進行IP間的自動化連接。Vivado 中包含大量預構建 IP 模塊(官方IP)。
    的頭像 發(fā)表于 02-10 14:51 ?1879次閱讀
    在Vivado中<b class='flag-5'>構建</b><b class='flag-5'>自定義</b><b class='flag-5'>AXI4-Stream</b> <b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>IP 2

    在Vivado中構建自定義AXI4-Stream FIR濾波器IP 3

    的圖形表示進行設計,在block design中使用 RTL 模塊的方便之處在于,它將自動檢測某些類型的信號,例如時鐘、復位和總線接口,然后,檢測這些信號進行IP間的自動化連接。Vivado 中包含大量預構建 IP 模塊(官方IP)。
    發(fā)表于 02-10 14:51 ?1050次閱讀
    在Vivado中<b class='flag-5'>構建</b><b class='flag-5'>自定義</b><b class='flag-5'>AXI4-Stream</b> <b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>IP 3

    Video In to AXI4-Stream IP核知識介紹

    大家好!今日分享一些關于Video In to AXI4-Stream IP 核的知識。在具體學習IP核的過程中,我也將分享一些關于如何看xilinx英文文檔的技巧。
    的頭像 發(fā)表于 05-18 14:55 ?1445次閱讀
    Video In to <b class='flag-5'>AXI4-Stream</b> IP核知識介紹

    LogiCORE IP AXI4-Stream FIFO內(nèi)核解決方案

    LogiCORE IP AXI4-Stream FIFO內(nèi)核允許以內(nèi)存映射方式訪問一個AXI4-Stream接口。該內(nèi)核可用于與AXI4-Stream IP接口,類似于LogiCORE IP
    的頭像 發(fā)表于 09-25 10:55 ?1111次閱讀
    LogiCORE IP <b class='flag-5'>AXI4-Stream</b> FIFO內(nèi)核解決方案