0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SystemVerilog調(diào)試過程中常用的方法和技巧

sanyue7758 ? 來源:芯片學(xué)堂 ? 2023-01-09 11:49 ? 次閱讀

調(diào)試(Debug),是個非常廣泛的話題,讓我先嘗試給它下個定義:

調(diào)試指的是在遇到工程問題的時候,通過一些手段來進一步診斷問題原因,探索解決方法,最終使得系統(tǒng)功能正常運行的必要過程。

調(diào)試應(yīng)該說是任何一個工程師解決問題的必備技能,對于芯片驗證工程師來說更是如此。調(diào)試通常沒有固定的章法,不可能按照某一個流程步驟就可以解決所有的工程問題,畢竟在實際環(huán)境中遇到的問題千差萬別,可能只是你的某一個命令參數(shù)敲錯了,可能是RTL邏輯設(shè)計上存在bug,也可能是設(shè)計規(guī)格(Specification)本身就存在紕漏,等等等等。

盡管調(diào)試方法不固定,但調(diào)試思想還是很一致的,那就是:盡可能的獲取更多的有效信息,并對這些信息做綜合分析。你細(xì)品,比如最常用的導(dǎo)出仿真日志(log)或者波形文件,這些方法本身就是為了獲取更多的有效信息,這些信息能夠幫助我們更好地去了解現(xiàn)在RTL的功能行為,幫助我們進一步對問題進行定位。

回到本文主題,本文要介紹的內(nèi)容就是調(diào)試過程中常用的方法和技巧,這些方法的合理應(yīng)用可以獲得上面說的有效信息,從而提高我們解決問題的效率。而至于如何做信息的綜合分析,需要根據(jù)具體場景和經(jīng)驗才能完成。

方法1:文件和參數(shù)索引的建立

之所以把建立文件和參數(shù)的索引也說成是調(diào)試方法,是因為在面對一個組件繁雜的驗證環(huán)境,或者規(guī)模龐大的設(shè)計的時候,能夠快速地檢查相對應(yīng)特性的規(guī)格文件、配置文件、宏定義、類型定義、參數(shù)配置、類原型、函數(shù)原型等信息,極有可能就可以解決掉一些低級的錯誤了。

要快速地找到這些有效信息,一方面依賴于工程師對當(dāng)前驗證環(huán)境和設(shè)計配置文件的熟悉程度,另一方面可以借助一些工具來找到它們。后者就是本節(jié)所要介紹的內(nèi)容,下面就直接羅列我工作中最常用的一些工具和命令。

使用ctags掃描工作目錄,建立基于語法元素的索引,配合Vim可以實現(xiàn)語法元素的快速跳轉(zhuǎn)。

使用meld工具比對目錄或者文件在不同版本之間的差異,功能比gvimdiff強那么一些。

Windows下使用Listary或者Everything軟件來快速查找本地文件,可以參考《芯片工程師必備軟件神器》。

Linux下使用grep命令來篩查文件內(nèi)容,配合管道符“|”可以實現(xiàn)更多級的篩查。

掌握一兩門腳本,Python、Perl、Shell等都可以,掌握正則表達式,隨手就可以實現(xiàn)一些小功能。

以上提到的工具和命令,都可以在網(wǎng)上找到大量的教程。如果有時間,我再寫一些小的使用Tip放到公眾號上。

方法2:波形的導(dǎo)出和使用

通過波形可以很直觀地看到RTL隨時間變化的所有行為細(xì)節(jié),盡管拉波形看信號變化看多了容易眼睛瞎掉,但不得不承認(rèn)大多數(shù)情況下沒有波形的話問題定位會變得寸步難行。波形文件是仿真過程的副產(chǎn)物,它按照一定的格式將每個仿真事件發(fā)生時刻的信號和變量狀態(tài)記錄下來,并最終以圖形化的方式呈現(xiàn)出來。

2643bc82-8d49-11ed-bfe3-dac502259ad0.png

波形文件的格式很多,比如VCD、FSDB、VPD、WLF等等。

VCD格式應(yīng)該說是最通用的波形格式,它是SV標(biāo)準(zhǔn)中定義的一種ASCII文件格式,其全稱是Value Change Dump。VCD包含了所有信號的變化信息,它可以被任何EDA調(diào)試工具打開。VCD文件可以在testbench中使用SV的內(nèi)建任務(wù)$dumpfile("name.vcd")和$dumpvar()來導(dǎo)出。

FSDB的全稱是Fast Signal Database,它是原來Novas公司(先被Synopsys收購)的工具Verdi專用的波形格式。在testbench中,可以使用Verilog PLI接口(可以像調(diào)用內(nèi)建函數(shù)那樣去調(diào)用C/C++函數(shù))調(diào)用$fsdbDumpfile("name.fsdb")和$fsdbDumpvars(0, top)去導(dǎo)出。注意,要用著兩個函數(shù)需要將Verdi安裝目錄中share/PLI下的相關(guān)庫添加到動態(tài)鏈接庫路徑($LD_LIBRARY_PATH)中,或者有參數(shù)(比如Mentor工具用的-pli)去指定PLI庫的路徑。

VPD是Synopsys自家定義的波形壓縮格式,叫VCD Plus(這個plus是不是有點似曾相識的感覺),在使用Synopsys VCS工具仿真時可以導(dǎo)出VPD波形,同時也可以使用使用配套的波形瀏覽器DVE(Discovery Visual Environment)去打開波形。VPD波形可以在testbench中使用$vcdpluson去導(dǎo)出,在VCS做編譯和仿真的時候需要指定debug能力,比如加參數(shù)-debug_all。

WLF的名字就比較單純,叫Wave Log Format,一看就知道是干啥的。WLF格式是Mentor家(現(xiàn)在屬于SIEMENS)定義的,可以由QuestaSim或者ModelSim仿真工具可以生成和加載。如果你用ModelSim做過實驗,當(dāng)你打開波形界面,它會在工作目錄下自動生成一個WLF文件。另外Mentor的工具還可以使用命令選項-qwavedb并附加一串選項,可以在仿真過程中生成仿真數(shù)據(jù)庫文件(simulation database),該文件以.db為后綴,可以當(dāng)成仿真波形被Visualizer調(diào)試工具打開。

以上提到的函數(shù)調(diào)用在參數(shù)上具有很大靈活性,可以指定具體要dump波形的RTL層級、scope范圍甚至指定哪些信號。此外還有其他函數(shù)可以用來限制波形文件大小、波形dump開關(guān)、導(dǎo)出Memory數(shù)據(jù)等等。

盡管不同格式的波形文件有以上差異,但在應(yīng)用的時候大部分情況下取決于你有什么EDA工具可以用,另一方面這些波形格式也有工具可以相互轉(zhuǎn)化。

仿真波形在使用的時候通常有一些技巧,方便問題的定位和重現(xiàn),下面列舉幾個常用的:

將功能相關(guān)的信號分組(group)放在一起,方便做邏輯或協(xié)議檢查;

可以將多個信號組合成總線信號,或者進行自定義的邏輯運算;

為被關(guān)注的仿真時刻添加標(biāo)簽(Mark),方便來回觀看;

通過添加標(biāo)尺可以查看信號事件間距、周期信號頻率、統(tǒng)計信號事件等功能;

將常用的狀態(tài)信號、總線信號、某個用例調(diào)試用的信號等保存成do文件,即將當(dāng)前波形界面的元素保存下來,方便波形重現(xiàn);

每個人看波形的習(xí)慣和方式會有不同,找到自己習(xí)慣的方式就可以了;

方法3:仿真日志的導(dǎo)出和使用

仿真日志(常說的log文件)通常是我們查看仿真結(jié)果會首先打開的文件。該文件中可以包含整個仿真過程中由編譯器和仿真器打印輸出的各種文本信息,比如當(dāng)前導(dǎo)入了哪些文件、本次編譯和仿真分別用到了哪些參數(shù)、當(dāng)前DUT的頂層是哪一層、仿真過程中不同時刻的關(guān)鍵動作和信息、本次仿真的結(jié)果和資源開銷等等。

基于文本的仿真日志記錄和對仿真日志的手動分析看起來是比較低級和低效的,特別是當(dāng)我們看著仿真日志并順著時間軸試圖去將打印數(shù)據(jù)和RTL行為關(guān)聯(lián)起來的時候,簡直苦不堪言。盡管如此,仿真日志的導(dǎo)出和使用仍然在某些時刻起到了基礎(chǔ)性的作用,特別是在UVM將report機制構(gòu)造健全之后,其作用不可忽視。

SystemVerilog本身在打印信息上有好幾個任務(wù)可以用:$display, $write, $strobe, $monitor, 這幾個任務(wù)的使用上不完全相同。

最常用的是$display,$display可以用來做格式化輸出,格式化方法跟C語言幾乎一致,并且打印完成之后會自動換行。

$write則用的不多,它的功能跟$display很接近,區(qū)別在于在打印完成之后不會添加換行符,所以適用于想要在一行里輸出多個信息的場景。

$strobe的打印就比較講究了,通過$strobe打印的變量值是當(dāng)前仿真時間槽(time slot)中該變量最后的值,比如對變量A做非阻塞賦值(<=)之后跟著用$strobe打印變量值,打印出來的是該變量完成賦值之后的值,而如果用$display則是打印出來該變量賦值完全之前的值。

$monitor的功能就跟它的名字一樣,它相當(dāng)于是在主線程之外開了一個監(jiān)控線程。當(dāng)通過$monitor打印的變量或者表達式發(fā)生改變時,打印的功能就會被觸發(fā),看起來就像是一個有了外部中斷能力的$display。

在UVM的框架里,打印信息被賦予了嚴(yán)重性等級(severity)和冗雜等級(verbosity),這在信息控制上提供可很大的便利。

Severity分成了INFO、WARNING、ERROR和FATAL,分別使用宏uvm_info、uvm_warning、uvm_error和uvm_fatal來進行信息打印。

Verbosity等級共分為UVM_NONE、UVM_LOW、UVM_MEDIUM、UVM_HIGH、UVM_FULL、UVM_DEBUG六級,表示信息的冗雜程度由低到高。

INFO顧名思義就是簡單的狀態(tài)信息打印,這些信息并不是必須的,它的verbosity屬性可以通過uvm_info宏的參數(shù)來指定。比如當(dāng)仿真的verbosity設(shè)為UVM_DEBUG時,所有uvm_info信息都會被打印出來,仿真日志會顯得非常冗雜;當(dāng)仿真的verbosity設(shè)為UVM_NONE的時候,只有UVM_NONE屬性的uvm_info信息才會被打印出來,仿真日志就看起來簡潔很多。

WARNING指的是一些警告信息,提示潛在的問題,比如你顯式地調(diào)用了某個phase,可能不會影響仿真的繼續(xù),但可能會讓仿真場景不符合預(yù)期。WARNING的verbosity默認(rèn)都是UVM_NONE,因此始終會被打印出來。

ERROR就是一些錯誤信息,錯誤信息的出現(xiàn)不會使得仿真馬上停止,UVM給我們提供了閾值設(shè)置,當(dāng)錯誤信息的數(shù)量達到某個值只有仿真就會自己退出。通常UVM_ERROR的信息被打印出來,我們都需要去把它們都解決掉。ERROR的verbosity等級默認(rèn)都是UVM_NONE,所以也不要想著用verbosity來屏蔽它。

FATAL就是一些致命的錯誤,只要一出現(xiàn)仿真就會馬上退出,這種問題同樣必須解決,不解決你連仿真都跑不完??上攵?,這類信息同樣verbosity默認(rèn)是UVM_NONE,始終會被打印出來。

Verbosity的設(shè)置出了直接用仿真參數(shù)+UVM_VERBOSITY=UVM_DEBUG來配置,UVM還提供了+uvm_set_verbosity這一非常靈活的參數(shù),具體使用方法這里就不做介紹了。UVM出了這套完備的打印信息控制機制之外,還提供了很多調(diào)試宏,比如+UVM_CONFIG_DB_TRACE、+UVM_PHASE_TRACE、+UVM_OBJECTION_TRACE等,用來方便用戶從仿真日志中觀測配置數(shù)據(jù)庫(configuration database)狀態(tài)、phase執(zhí)行狀態(tài)和objection狀態(tài)等。

總而言之,信息的打印方法有很多,UVM在report機制上也給到了足夠的控制手段,利用好這些方法,仿真日志將是我們調(diào)試用例的利器!關(guān)于UVM的議題可以介紹的實在太多,有時間再開這一系列的文章。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59534
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19092
  • python
    +關(guān)注

    關(guān)注

    53

    文章

    4753

    瀏覽量

    84111

原文標(biāo)題:SystemVerilog | 這些Debug調(diào)試方法你都知道嗎?| Part I

文章出處:【微信號:處芯積律,微信公眾號:處芯積律】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    單片機基本io功能調(diào)試過程

    單片機基本IO功能的調(diào)試過程涉及多個步驟,旨在確保IO口能夠正確地執(zhí)行輸入和輸出操作。以下是一個調(diào)試過程,涵蓋了從準(zhǔn)備階段到實際測試的關(guān)鍵步驟: 一、準(zhǔn)備階段 確定單片機型號和IO口 : 首先,明確
    的頭像 發(fā)表于 09-14 14:38 ?199次閱讀

    NE5532在調(diào)試過程中發(fā)現(xiàn)運放有很高的直流偏置電壓,Signal_Channel_3出無信號輸出?

    ,Signal_Channel_3是最終輸出。 在調(diào)試過程中發(fā)現(xiàn)運放有很高的直流偏置電壓,Signal_Channel_3出無信號輸出?請問是什么問題。 是不是可以采用下面的電路結(jié)構(gòu)進行級聯(lián) 謝謝!
    發(fā)表于 09-10 07:23

    INA321測試過程中,發(fā)現(xiàn)阻抗變化比較大,為什么?

    試過程中,發(fā)現(xiàn)阻抗變化比較大,有時候是40mΩ,有的時候顯示70mΩ,感覺差別比較大,不知道是什么原因
    發(fā)表于 08-20 06:56

    RIGOL產(chǎn)品在材料應(yīng)力測試過程中的應(yīng)用

    、強度、剛度、穩(wěn)定性等,可以精確地控制產(chǎn)品質(zhì)量。本篇解決方案將介紹RIGOL產(chǎn)品在材料應(yīng)力測試過程中的應(yīng)用。
    的頭像 發(fā)表于 07-12 17:01 ?218次閱讀
    RIGOL產(chǎn)品在材料應(yīng)力測<b class='flag-5'>試過程中</b>的應(yīng)用

    開關(guān)電源調(diào)試問題及預(yù)防炸機

    EMI問題:開關(guān)電源的電磁干擾問題也是調(diào)試過程中常見的挑戰(zhàn),需要采取適當(dāng)?shù)臑V波和屏蔽措施來降低EMI。
    的頭像 發(fā)表于 04-27 05:30 ?1212次閱讀
    開關(guān)電源<b class='flag-5'>調(diào)試</b>問題及預(yù)防炸機

    smt加工過程中空洞產(chǎn)生的原因及處理方法

    一站式PCBA智造廠家今天為大家講講smt加工過程中空洞產(chǎn)生的原因有哪些?解決SMT加工過程中空洞問題的方法。SMT加工是電子制造中常見的一種表面貼裝技術(shù),它具有高效、高質(zhì)、高可靠性等
    的頭像 發(fā)表于 04-02 09:40 ?480次閱讀

    gis中常用的空間分析方法

    GIS中常用的空間分析方法 GIS(地理信息系統(tǒng))是一種用于收集、存儲、處理、分析和展示地理數(shù)據(jù)的技術(shù)??臻g分析是GIS的核心部分,它包括一系列方法和技術(shù),用來研究地理空間數(shù)據(jù)之間的關(guān)系和模式。本文
    的頭像 發(fā)表于 02-25 13:44 ?4123次閱讀

    為什么nulink會在調(diào)試過程中時不時的中斷?

    為啥nulink 會在調(diào)試過程中時不時的中斷?是不是要設(shè)置什么?
    發(fā)表于 01-17 06:52

    5g濾波器調(diào)試方法

    隨著5G通信技術(shù)的不斷發(fā)展和應(yīng)用,濾波器在5G系統(tǒng)中扮演著至關(guān)重要的角色。濾波器能夠有效地降低系統(tǒng)中的無用信號干擾,提高系統(tǒng)性能。然而,在濾波器的設(shè)計和調(diào)試過程中,存在著一些挑戰(zhàn),如如何選擇適當(dāng)
    的頭像 發(fā)表于 01-09 15:01 ?1181次閱讀

    ADP5070調(diào)試過程中正負(fù)15V均無輸出是為什么?

    電路如上圖,調(diào)試過程中按照上圖正負(fù)15V均無輸出,將SDM100K3L反向以后得到+15V輸出,但是-15V僅有0.6V。 (反向二極管這個操作是詢問過其他使用過ADP5070的人的調(diào)試經(jīng)驗
    發(fā)表于 01-08 06:25

    AD2S1210在調(diào)試過程芯片發(fā)燙、損壞的原因?

    調(diào)試過程中不明原因,芯片發(fā)燙,損壞
    發(fā)表于 12-21 06:29

    IDEA的調(diào)試功能

    工具和功能。本文將詳細(xì)介紹IDEA的調(diào)試功能,包括使用方法、常用技巧和調(diào)試過程中的注意事項等。 第一部分:IDEA的調(diào)試功能簡介 1.1 I
    的頭像 發(fā)表于 12-06 14:07 ?583次閱讀

    如何解決車載部品測試過程中峰值電流不足的問題?

    如何解決車載部品測試過程中峰值電流不足的問題? 隨著汽車電子系統(tǒng)的不斷發(fā)展和普及,車載部品的測試過程變得更加復(fù)雜和嚴(yán)峻。其中一個常見的問題是峰值電流不足。峰值電流不足可能導(dǎo)致測試結(jié)果不準(zhǔn)確、設(shè)備損壞
    的頭像 發(fā)表于 11-23 10:33 ?447次閱讀

    調(diào)試過程中,如何根據(jù)電流值來判斷電機選型的合理性?

    調(diào)試過程中,我們可通過Trace監(jiān)視電流值來看下電機選型是否為最優(yōu),那么如何來正確判斷呢?電機工作在-重載高速時的值應(yīng)為額定值之上多少一般認(rèn)為是最優(yōu)的呢?特此請教
    發(fā)表于 11-16 07:43

    在AT32微控制器上的各種調(diào)試過程信息輸出方法

    AT32 Printf Debug Demo介紹了在AT32微控制器上的各種調(diào)試過程信息輸出方法,可滿足不具備串口助手條件下的調(diào)試過程信息輸出。
    發(fā)表于 10-23 06:19