0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于FPGA的系統(tǒng)提高電機(jī)控制性能

星星科技指導(dǎo)員 ? 來源:ADI ? 作者:Andrei Cozma and Eric ? 2023-01-09 15:27 ? 次閱讀

作者:Andrei Cozma and Eric Cigan

電機(jī)廣泛用于工業(yè)、汽車和商業(yè)應(yīng)用,由驅(qū)動(dòng)器控制,驅(qū)動(dòng)器改變電輸入功率以控制扭矩、速度和位置。高性能電機(jī)驅(qū)動(dòng)器可以提高效率并提供更快、更準(zhǔn)確的控制。先進(jìn)的電機(jī)控制系統(tǒng)結(jié)合了控制算法、工業(yè)網(wǎng)絡(luò)和用戶界面,因此它們需要額外的處理能力來實(shí)時(shí)執(zhí)行所有任務(wù)。多芯片架構(gòu)通常用于實(shí)現(xiàn)現(xiàn)代電機(jī)控制系統(tǒng):數(shù)字信號(hào)處理器DSP)執(zhí)行電機(jī)控制算法,FPGA實(shí)現(xiàn)高速I/O和網(wǎng)絡(luò)協(xié)議,微處理器處理執(zhí)行控制。

隨著片上系統(tǒng) (SoC) 器件的出現(xiàn),例如 Xilinx Zynq 全可編程 SoC,它結(jié)合了 CPU 的多功能性和 FPGA 的處理能力,設(shè)計(jì)人員能夠?qū)㈦姍C(jī)控制功能和附加處理任務(wù)整合到單個(gè)器件中??刂扑惴?、網(wǎng)絡(luò)和其他處理密集型任務(wù)被卸載到可編程邏輯中,而監(jiān)控、系統(tǒng)監(jiān)控和診斷、用戶界面和調(diào)試則由處理單元處理??删幊踢壿嬁梢园ǘ鄠€(gè)并聯(lián)運(yùn)行的控制內(nèi)核,以實(shí)現(xiàn)多軸機(jī)器或多個(gè)控制系統(tǒng)。在單個(gè)芯片上集成完整的控制器可以使硬件設(shè)計(jì)更簡單、更可靠、更便宜。

近年來,軟件建模和仿真工具(如 MathWorksSimulink)使基于模型的設(shè)計(jì)能夠演變?yōu)閺哪P蛣?chuàng)建到實(shí)現(xiàn)的完整設(shè)計(jì)流程。??2基于模型的設(shè)計(jì)改變了工程師和科學(xué)家的工作方式,正在將設(shè)計(jì)任務(wù)從實(shí)驗(yàn)室和現(xiàn)場轉(zhuǎn)移到桌面?,F(xiàn)在,可以對整個(gè)系統(tǒng)(包括工廠和控制器)進(jìn)行建模,使工程師能夠在現(xiàn)場部署控制器之前調(diào)整控制器的行為。這降低了損壞的風(fēng)險(xiǎn),加快了系統(tǒng)集成,并減少了對設(shè)備可用性的依賴??刂颇P屯瓿珊?,Simulink 環(huán)境可以將其自動(dòng)轉(zhuǎn)換為控制系統(tǒng)運(yùn)行的 C 和 HDL 代碼,從而節(jié)省時(shí)間并避免手動(dòng)編碼錯(cuò)誤。通過將系統(tǒng)模型與快速原型設(shè)計(jì)環(huán)境相關(guān)聯(lián),可以觀察控制器在實(shí)際條件下的運(yùn)行方式,從而進(jìn)一步降低風(fēng)險(xiǎn)。

Xilinx 的 Zynq SoC 用于實(shí)現(xiàn)控制器,MathWorks 的 Simulink 用于基于模型的設(shè)計(jì)和自動(dòng)代碼生成,ADI公司智能驅(qū)動(dòng)器套件用于驅(qū)動(dòng)系統(tǒng)的快速原型設(shè)計(jì),從而實(shí)現(xiàn)更高的電機(jī)控制性能的完整開發(fā)環(huán)境。

賽靈思 FPGA 和 SoC 電機(jī)控制解決方案

先進(jìn)的電機(jī)控制系統(tǒng)必須執(zhí)行控制、通信和用戶界面任務(wù)的組合,每個(gè)任務(wù)都有不同的處理帶寬要求和實(shí)時(shí)限制。選擇用于實(shí)現(xiàn)此類控制系統(tǒng)的硬件平臺(tái)必須具有穩(wěn)健性和可擴(kuò)展性,同時(shí)允許未來的系統(tǒng)改進(jìn)和擴(kuò)展。Zynq 全可編程 SoC 通過將高性能處理系統(tǒng)與可編程邏輯相結(jié)合來滿足這些要求,如圖 1 所示。這種組合提供了卓越的并行處理能力、實(shí)時(shí)性能、快速計(jì)算和多功能連接。該 SoC 集成了兩個(gè) Xilinx 模數(shù)轉(zhuǎn)換器 (XADC),用于監(jiān)控系統(tǒng)或外部模擬傳感器

poYBAGO7wfSAJfCxAAEoZMYBals656.png?la=en&imgver=1

圖1.賽靈思 Zynq SoC 框圖。

Zynq 的處理端由雙核 ARM Cortex-A9 處理器、霓虹燈協(xié)處理器和加速軟件執(zhí)行的浮點(diǎn)擴(kuò)展組成。該處理系統(tǒng)可處理非常適合軟件實(shí)施的監(jiān)控、運(yùn)動(dòng)控制、系統(tǒng)管理、用戶界面和遠(yuǎn)程維護(hù)功能等任務(wù)。可以部署嵌入式 Linux 或?qū)崟r(shí)操作系統(tǒng)以利用系統(tǒng)的功能。無需配置可編程邏輯即可使用獨(dú)立處理器。這允許軟件開發(fā)人員與設(shè)計(jì)FPGA結(jié)構(gòu)的硬件工程師并行編寫代碼。

在可編程邏輯方面,該器件具有多達(dá) 444,000 個(gè)邏輯單元和 2200 個(gè) DSP 切片,可提供大量處理帶寬。FPGA 架構(gòu)具有可擴(kuò)展性,因此用戶可以選擇具有 28,000 個(gè)邏輯單元的小型器件,也可以選擇高端器件,后者可以應(yīng)對最具挑戰(zhàn)性的信號(hào)處理應(yīng)用。五個(gè) AMBA-4 AXI 高速互連將可編程邏輯與處理系統(tǒng)緊密耦合,提供相當(dāng)于 3000 多個(gè)引腳的有效帶寬。可編程邏輯適用于實(shí)現(xiàn)時(shí)間關(guān)鍵型、處理密集型任務(wù),如實(shí)時(shí)工業(yè)以太網(wǎng)協(xié)議,并且可以容納多個(gè)并聯(lián)運(yùn)行的多軸機(jī)器或多個(gè)控制系統(tǒng)的控制內(nèi)核。

Xilinx 全可編程 SoC 解決方案和平臺(tái)可滿足當(dāng)今磁場定向控制 (FOC) 等復(fù)雜控制算法和再生脈沖頻率調(diào)制器等復(fù)雜調(diào)制方案所帶來的關(guān)鍵時(shí)序和性能要求3由Xilinx和Qdesys設(shè)計(jì)。

使用 MathWorks 的 Simulink 進(jìn)行基于模型的設(shè)計(jì)

Simulink 是一種用于多域仿真和基于模型的設(shè)計(jì)的框圖環(huán)境,非常適合仿真包含控制算法和工廠模型的系統(tǒng)。電機(jī)控制算法調(diào)節(jié)速度、扭矩和其他參數(shù),通常用于精確定位。在進(jìn)行昂貴的硬件測試之前,使用仿真評(píng)估控制算法是確定電機(jī)控制設(shè)計(jì)的適用性并減少算法開發(fā)的時(shí)間和成本的有效方法。圖2描述了設(shè)計(jì)電機(jī)控制算法的高效工作流程:

構(gòu)建精確的控制器和工廠模型,通常來自電機(jī)、驅(qū)動(dòng)電子設(shè)備、傳感器和負(fù)載庫

模擬系統(tǒng)行為以驗(yàn)證控制器是否按預(yù)期運(yùn)行

生成用于實(shí)時(shí)測試和實(shí)施的 C 代碼和 HDL

使用原型硬件測試控制算法

一旦通過原型硬件上的仿真和測試證明控制系統(tǒng)令人滿意,將控制器部署到最終生產(chǎn)系統(tǒng)上

pYYBAGO7wfWAeFEAAABX9yr4JTs508.png?la=en&imgver=1

圖2.電機(jī)控制算法設(shè)計(jì)的工作流程。

MathWorks 產(chǎn)品(包括 Control System Toolbox、SimPowerSystems ? 和 Simscape?)提供行業(yè)標(biāo)準(zhǔn)算法和應(yīng)用程序,用于系統(tǒng)地分析、設(shè)計(jì)和調(diào)整線性控制系統(tǒng),以及用于對跨越機(jī)械、電氣、?液壓和其他物理領(lǐng)域的系統(tǒng)進(jìn)行建模和仿真的組件庫和分析工具。這些工具提供了創(chuàng)建高保真工廠和控制器模型的方法,這些模型可以在進(jìn)入物理實(shí)施之前驗(yàn)證控制系統(tǒng)的行為和性能。仿真環(huán)境是驗(yàn)證功能極端情況和極端操作條件的理想場所,以確保控制器為此類情況做好準(zhǔn)備,并且其實(shí)際操作對設(shè)備和操作人員都是安全的。

一旦使用嵌入式編碼器和HDL編碼器工具在仿真環(huán)境中對控制系統(tǒng)進(jìn)行了全面驗(yàn)證,就可以將其轉(zhuǎn)換為C代碼和HDL,并部署到原型硬件上進(jìn)行測試,然后部署到最終生產(chǎn)系統(tǒng)中。此時(shí),指定了軟件和硬件實(shí)現(xiàn),例如定點(diǎn)和定時(shí)行為要求。自動(dòng)代碼生成有助于減少從概念到實(shí)際系統(tǒng)實(shí)現(xiàn)所需的時(shí)間,消除編碼錯(cuò)誤,并確保實(shí)際實(shí)現(xiàn)與模型匹配。圖 3 描述了在 Simulink 中對電機(jī)控制器進(jìn)行建模并將其傳輸?shù)阶罱K生產(chǎn)系統(tǒng)所需的實(shí)際步驟。

poYBAGO7wfeAID1HAACYi0hli-o492.png?la=en&imgver=1

圖3.從模擬到生產(chǎn)的路徑。

第一步是在 Simulink 中對控制器和工廠進(jìn)行建模和仿真。在此階段,控制器算法被劃分為將在軟件中實(shí)現(xiàn)的塊和將在可編程邏輯中實(shí)現(xiàn)的塊。分區(qū)和仿真完成后,使用嵌入式編碼器和 HDL 編碼器將控制器模型轉(zhuǎn)換為 C 代碼和 HDL?;?Zynq 的原型系統(tǒng)可驗(yàn)證控制算法的性能,并幫助在進(jìn)入生產(chǎn)階段之前進(jìn)一步調(diào)整控制器模型。在生產(chǎn)階段,自動(dòng)生成的C代碼和HDL被集成到復(fù)雜的生產(chǎn)系統(tǒng)框架中。該工作流程確保一旦控制算法進(jìn)入生產(chǎn)階段,它就會(huì)得到充分的驗(yàn)證和測試,從而為系統(tǒng)的魯棒性提供高度的信心。

使用ADI公司智能驅(qū)動(dòng)器套件進(jìn)行快速原型設(shè)計(jì)

選擇正確的原型硬件是設(shè)計(jì)過程中的重要一步。ADI公司的智能驅(qū)動(dòng)器套件可實(shí)現(xiàn)快速、高效的原型設(shè)計(jì)。安富利Zynq-7000全可編程SoC ARM雙核Cortex-A9 + 28 nm可編程邏輯與最新一代ADI公司的高精度數(shù)據(jù)轉(zhuǎn)換器和數(shù)字隔離相結(jié)合,安富利Zynq-7000全可編程SoC/ADI智能驅(qū)動(dòng)器套件可實(shí)現(xiàn)高性能電機(jī)控制和雙千兆以太網(wǎng)工業(yè)網(wǎng)絡(luò)連接。該套件配有安富利ZedBoard 7020基板和ADI公司的AD-FMCMOTCON1-EBZ模塊,這是一個(gè)完整的驅(qū)動(dòng)系統(tǒng),可為多種電機(jī)類型提供高效控制。此外,該套件還可以通過ADI公司的AD-DYNO1-EBZ測功機(jī)驅(qū)動(dòng)系統(tǒng)進(jìn)行擴(kuò)展,該系統(tǒng)是一種動(dòng)態(tài)可調(diào)負(fù)載,可用于測試實(shí)時(shí)電機(jī)控制性能。AD-FMCMOTCON1-EBZ模塊由控制器和驅(qū)動(dòng)板組成,如圖4所示。

poYBAGO7wfqABX2DAAHKZ3xhAsw390.png?la=en&imgver=1

圖4.AD-FMCMOTCON1-EBZ框圖。

該控制器板是一款混合信號(hào) FPGA 夾層卡 (FMC),設(shè)計(jì)用于連接任何具有低引腳數(shù) (LPC) 或高引腳數(shù) (HPC) FMC 連接器的 Xilinx FPGA 或 SoC 平臺(tái)。它的特點(diǎn)是:

使用隔離式ADC測量電流和電壓

隔離式賽靈思 XADC 接口

完全隔離的數(shù)字控制和反饋信號(hào)

霍爾、差分霍爾、編碼器和旋轉(zhuǎn)變壓器接口

2 Gb 以太網(wǎng) PHY,支持高速工業(yè)通信協(xié)議,如 EtherCAT、ProfiNET、Ethernet/IP 或 Powerlink

FMC信號(hào)電壓自適應(yīng)接口,可在所有FMC電壓電平上無縫運(yùn)行

隔離是任何電機(jī)控制系統(tǒng)的一個(gè)關(guān)鍵方面,需要保護(hù)控制器和用戶??刂破靼迳夏M和數(shù)字信號(hào)的完全隔離可確保FPGA平臺(tái)始終受到保護(hù),免受電機(jī)驅(qū)動(dòng)側(cè)可能出現(xiàn)的危險(xiǎn)電壓的影響。

驅(qū)動(dòng)板包含驅(qū)動(dòng)電機(jī)所需的所有電力電子設(shè)備以及電流和電壓檢測保護(hù)電路。該板具有以下特點(diǎn):

驅(qū)動(dòng) 12V 至 48V 范圍內(nèi)的 BLDC(無刷直流)/PMSM(永磁同步電機(jī))/有刷直流/步進(jìn)電機(jī),最大電流為 18A

動(dòng)態(tài)制動(dòng)功能以及集成的過流和反向電壓保護(hù)

使用隔離式ADC進(jìn)行相電流測量;可編程增益放大器最大化電流測量輸入范圍

向控制器板提供直流母線電壓、相電流和總電流反饋信號(hào)

集成 BEMF 過零檢測,用于永磁同步電機(jī)或 BLDC 電機(jī)的無傳感器控制

測功機(jī)是一種動(dòng)態(tài)可調(diào)負(fù)載,可用于測試實(shí)時(shí)電機(jī)控制性能,由兩個(gè)通過剛性連接直接耦合的 BLDC 電機(jī)組成。其中一個(gè) BLDC 電機(jī)充當(dāng)負(fù)載,由測功機(jī)的嵌入式控制系統(tǒng)控制;第二個(gè)電機(jī)由ADI智能驅(qū)動(dòng)套件驅(qū)動(dòng),如圖5所示。該系統(tǒng)配備了一個(gè)用戶界面,顯示有關(guān)負(fù)載電流和速度的信息,允許設(shè)置不同的負(fù)載曲線。外部控制可以通過使用模擬發(fā)現(xiàn)USB示波器直接從MATLAB使用MathWorks儀器控制工具箱進(jìn)行負(fù)載信號(hào)捕獲和控制來實(shí)現(xiàn)。 ??

pYYBAGO7wf2AGvSDAAEHui6fows668.png?la=en&imgver=1

圖5.測功機(jī)驅(qū)動(dòng)系統(tǒng)。

任何電機(jī)控制系統(tǒng)的性能都受到電機(jī)電流和電壓測量質(zhì)量的極大影響。通過使用高性能模擬信號(hào)調(diào)理元件和ADC,ADI智能驅(qū)動(dòng)套件可提供精確的電流和電壓測量。測量路徑在控制器和驅(qū)動(dòng)板之間劃分,如圖6所示。

poYBAGO7wf6AYJ8OAACxim0sUJw014.png?la=en&imgver=1

圖6.相電流信號(hào)鏈。

通過測量分流電阻兩端的電壓來檢測相電流。兩種可能的測量路徑旨在獲得最佳測量精度,具體取決于ADC是否靠近分流電阻。當(dāng)ADC靠近分流電阻時(shí),信號(hào)路徑非常短,不易發(fā)生噪聲耦合。分流電阻上的小差分電壓由隔離式Σ-Δ調(diào)制器AD7401直接測量,無需額外的接口和信號(hào)調(diào)理電路。當(dāng)ADC遠(yuǎn)離分流電阻時(shí),信號(hào)路徑很長,容易產(chǎn)生噪聲耦合,特別是電源開關(guān)噪聲和電機(jī)噪聲。必須特別注意確保ADC和分流電阻之間的PCB走線和信號(hào)調(diào)理電路得到適當(dāng)屏蔽。分流電阻上的小差分電壓通過差動(dòng)放大器AD8207放大到驅(qū)動(dòng)板上,該差動(dòng)放大器靠近分流電阻以避免噪聲耦合。信號(hào)從±125 mV滿量程輸入范圍放大到±2.5 V范圍,以最大限度地降低耦合噪聲的影響。放大后的信號(hào)使用可編程增益儀表放大器(PGIA)AD8251經(jīng)過另一個(gè)放大級(jí),確保ADC始終接收到適當(dāng)調(diào)整以適應(yīng)輸入范圍的輸入信號(hào)。放大的模擬信號(hào)通過連接器到達(dá)控制器板。該連接器包括對每個(gè)模擬信號(hào)的屏蔽,以減輕噪聲耦合。來自驅(qū)動(dòng)板的模擬信號(hào)使用運(yùn)算放大器ADA4084-2移回AD7401輸入范圍。

電流和電壓反饋信號(hào)鏈中最重要的部分是二階隔離式Σ-Δ調(diào)制器AD7401A。這款高性能 ADC 具有無失碼的 16 位分辨率、13.3 有效位數(shù) (ENOB) 和 83dB SNR。2線數(shù)字接口包括一個(gè)20 MHz時(shí)鐘輸入和一個(gè)1位數(shù)字比特流輸出。ADC輸出使用sinc重建3數(shù)字濾波器。數(shù)據(jù)手冊中提供了16位輸出和78 kHz采樣速率的濾波器模型和HDL實(shí)現(xiàn)方案。輸出分辨率和采樣率可以通過改變?yōu)V波器模型和抽取來控制。雖然 78kHz 采樣速率對于許多應(yīng)用來說可能已經(jīng)足夠好了,但在某些情況下需要更高的采樣率。在這些情況下,濾波器組(如圖7所示)可用于將系統(tǒng)的采樣速率提高到10 MSPS,處理真正的16位數(shù)據(jù)。濾波器組包含 n 個(gè) sinc。3采樣時(shí)鐘延遲 T 倍數(shù)的濾波器,即 sinc。3濾波器傳播時(shí)間除以 n。數(shù)據(jù)選擇器以等于T的周期輸出ADC代碼。

pYYBAGO7wgCAXCHeAABL-gZkwz0773.png?la=en&imgver=1

圖7.過濾器組。

相電流測量也可以由 Zynq XADC 執(zhí)行。XADC信號(hào)測量鏈?zhǔn)褂贸R?guī)測量鏈的整個(gè)路徑,并在AD7401 Σ-Δ調(diào)制器之后添加一個(gè)Sallen-Key模擬重建濾波器。該濾波器使用AD8646運(yùn)算放大器在控制器板上實(shí)現(xiàn),如圖8所示。隔離式Σ-Δ調(diào)制器和模擬重建濾波器的組合提供了一種方便、低成本的方法,可以在不影響測量質(zhì)量的情況下實(shí)現(xiàn)XADC輸入信號(hào)的模擬隔離。

poYBAGO7wgGAE6xxAADD_Y75tmM821.png?la=en&imgver=1

圖8.XADC 信號(hào)測量鏈。

ADI公司的智能驅(qū)動(dòng)套件隨附一套Simulink控制器模型、完整的Xilinx Vivado框架和ADI Linux基礎(chǔ)設(shè)施,允許用戶完成設(shè)計(jì)電機(jī)控制系統(tǒng)所需的所有步驟,從仿真開始,經(jīng)過原型設(shè)計(jì),最后到生產(chǎn)系統(tǒng)實(shí)施。

兩種控制器型號(hào)(六步控制器和 PMSM 磁場定向控制器)可用于啟動(dòng)設(shè)計(jì)過程。圖 9 顯示了這兩個(gè)控制器的頂級(jí)視圖。六步控制器實(shí)現(xiàn)了用于 BLDC 電機(jī)的梯形控制器;FOC 控制器提供用于集成控制系統(tǒng)的 FOC 內(nèi)核。

poYBAGO7wgOAICqUAAD2Vcb4pbg675.png?la=en&imgver=1

圖9.同步控制器模型。

在仿真階段創(chuàng)建工廠和控制器模型,并對整個(gè)系統(tǒng)的行為進(jìn)行仿真,以驗(yàn)證控制器是否按預(yù)期運(yùn)行??刂破髂P捅粍澐譃閷⒃?C 代碼和 HDL 中實(shí)現(xiàn)的組件,并指定了時(shí)序、定點(diǎn)實(shí)現(xiàn)、采樣速率和循環(huán)時(shí)間等約束,以確??刂破髂P偷男袨榕c硬件實(shí)現(xiàn)中的行為相同。圖 10 顯示了六步控制器在軟件和 HDL 之間的分區(qū)。

poYBAGO7wgeAbbEIAAFOIM1Svps796.png?la=en&imgver=1

圖 10.C 代碼和 HDL 中的控制器分區(qū)。

一旦控制器在仿真中得到充分驗(yàn)證,下一步就是在硬件平臺(tái)上對其進(jìn)行原型設(shè)計(jì)。Zynq SoC 引導(dǎo)式工作流程從 Simulink 模型生成 C 代碼和 HDL,該模型劃分為面向 ARM 內(nèi)核和可編程邏輯的子系統(tǒng)。通過此工作流,HDL 編碼器生成面向可編程邏輯的 HDL,而嵌入式編碼器生成面向 ARM 的 C 代碼。MathWorks Zynq 支持包支持生成 ARM 可執(zhí)行文件,該可執(zhí)行文件由模型的算法 C 代碼組成,該代碼與 AXI 總線接口,以及由來自模型的 HDL 代碼組成的比特流生成,該模型與可編程邏輯引腳和 AXI 總線接口。圖11顯示了控制器實(shí)現(xiàn)以及與ADI智能驅(qū)動(dòng)硬件的關(guān)系。

poYBAGO7wgmAQltGAAFOILBA6Ho256.png?la=en&imgver=1

圖 11.原型系統(tǒng)上的控制器實(shí)現(xiàn)。

一旦將比特流和可執(zhí)行文件加載到硬件中,就可以開始控制器的操作測試。硬件在環(huán) (HIL) 測試是使用 Simulink 和運(yùn)行開源 Linux 操作系統(tǒng)嵌入式系統(tǒng)之間的以太網(wǎng)鏈路執(zhí)行的。 可以在 Simulink 中捕獲軸速度等電機(jī)參數(shù),并與仿真結(jié)果進(jìn)行比較,以確保物理系統(tǒng)實(shí)現(xiàn)與模型匹配。控制算法測試完成后,控制器可以轉(zhuǎn)移到生產(chǎn)系統(tǒng)。

ADI公司與智能驅(qū)動(dòng)器套件一起提供了完整的Vivado框架和Linux基礎(chǔ)架構(gòu),可用于原型設(shè)計(jì)和最終生產(chǎn)。圖 12 顯示了支持智能驅(qū)動(dòng)器套件的 Zynq 基礎(chǔ)架構(gòu)。此高級(jí)圖表顯示了 ADI 參考設(shè)計(jì)如何在 Xilinx Zynq SoC 上進(jìn)行分區(qū)。可編程邏輯實(shí)現(xiàn)IP核,用于與ADC、位置傳感器和電機(jī)驅(qū)動(dòng)級(jí)接口。HDL編碼器生成的HDL表示電機(jī)控制算法,集成到ADI公司的IP中。所有 IP 都具有用于配置和控制的低速 AXI-Lite 接口,以及允許它們通過 DMA 通道將實(shí)時(shí)數(shù)據(jù)傳輸?shù)杰浖?jí)別的高速 AXI-Streaming 接口。高速以太網(wǎng)接口可以使用 ARM 處理系統(tǒng)的硬 MAC 外設(shè)或可編程邏輯中的 Xilinx 以太網(wǎng) IP 來實(shí)現(xiàn)。

ARM Cortex A9處理系統(tǒng)運(yùn)行由ADI公司提供的Ubuntu Linux。這包括與ADI公司智能驅(qū)動(dòng)硬件接口所需的Linux IIO驅(qū)動(dòng)程序、用于監(jiān)測和控制的IIO示波器(示波器)用戶空間應(yīng)用程序、允許對TCP進(jìn)行實(shí)時(shí)數(shù)據(jù)采集和系統(tǒng)控制的libiio服務(wù)器、在遠(yuǎn)程計(jì)算機(jī)上運(yùn)行的客戶端,以及包含嵌入式編碼器生成的C代碼的可選用戶應(yīng)用程序。

pYYBAGO7wguATOF9AAGA3EeFItY716.png?la=en&imgver=1

圖 12.ADI Linux 基礎(chǔ)架構(gòu)。

所有ADI Linux驅(qū)動(dòng)程序都基于Linux工業(yè)I/O(IIO)子系統(tǒng),該子系統(tǒng)現(xiàn)在包含在所有主線Linux內(nèi)核中。IIO Scope是由ADI公司開發(fā)的開源Linux應(yīng)用,運(yùn)行在Xilinx Zynq內(nèi)部的雙ARM Cortex A9s上,能夠顯示從連接到Xilinx Zynq平臺(tái)的任何ADI公司FMC卡獲取的實(shí)時(shí)數(shù)據(jù)。數(shù)據(jù)可以顯示在時(shí)域、頻域或星座圖中。支持不同的流行文件格式,例如逗號(hào)分隔值或 .mat Matlab 文件,以保存捕獲的數(shù)據(jù)以供進(jìn)一步分析。IIO示波器提供圖形用戶界面,用于更改或回讀ADI公司FMC卡的配置。

libiio服務(wù)器允許對TCP以及遠(yuǎn)程計(jì)算機(jī)上運(yùn)行的客戶端進(jìn)行實(shí)時(shí)數(shù)據(jù)采集和系統(tǒng)控制。服務(wù)器在 Linux 下的嵌入式目標(biāo)上運(yùn)行,并通過 TCP 管理目標(biāo)和遠(yuǎn)程客戶端之間的實(shí)時(shí)數(shù)據(jù)交換。IIO 客戶端可作為系統(tǒng)對象集成到本機(jī) MATLAB 和 Simulink 應(yīng)用程序中。HDMI輸出用于在顯示器上顯示Linux接口,而鍵盤和鼠標(biāo)可以通過USB 2.0端口連接到系統(tǒng)。

ADI為智能驅(qū)動(dòng)器套件提供的Linux軟件和HDL基礎(chǔ)設(shè)施,以及MathWorks和Xilinx提供的工具,是電機(jī)控制應(yīng)用原型設(shè)計(jì)的理想選擇。它們還包含可集成到最終控制系統(tǒng)中的生產(chǎn)就緒組件,從而有助于減少從概念到生產(chǎn)所需的時(shí)間和成本。

結(jié)論

本文闡述了支持FPGA的現(xiàn)代電機(jī)控制系統(tǒng)的要求和趨勢,以及MathWorks、Xilinx和ADI公司為滿足這些限制并幫助推動(dòng)更高效、更精確的電機(jī)控制解決方案而推向市場的工具和系統(tǒng)。通過將 MathWorks 基于模型的設(shè)計(jì)和自動(dòng)代碼生成工具與功能強(qiáng)大的 Xilinx Zynq SoC 以及 ADI 公司的隔離、電源、信號(hào)調(diào)理和測量解決方案相結(jié)合,電機(jī)驅(qū)動(dòng)系統(tǒng)的設(shè)計(jì)、驗(yàn)證、測試和實(shí)施可以比以往更加有效,從而提高電機(jī)控制性能并縮短上市時(shí)間。ADI公司的智能驅(qū)動(dòng)器套件與安富利Zynq-7000全可編程SoC配合使用,為使用MathWorks的Simulink設(shè)計(jì)的電機(jī)控制算法提供了出色的原型設(shè)計(jì)環(huán)境。智能驅(qū)動(dòng)器套件附帶一組參考設(shè)計(jì)4旨在為任何想要評(píng)估系統(tǒng)并幫助啟動(dòng)任何新電機(jī)控制項(xiàng)目的人提供一個(gè)起點(diǎn)。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21509

    瀏覽量

    598860
  • 驅(qū)動(dòng)器
    +關(guān)注

    關(guān)注

    51

    文章

    7997

    瀏覽量

    144999
  • soc
    soc
    +關(guān)注

    關(guān)注

    38

    文章

    4021

    瀏覽量

    217011
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于FPGA系統(tǒng)促進(jìn)提高電機(jī)控制性能

    電機(jī)在各種工業(yè)、汽車和商業(yè)領(lǐng)域應(yīng)用廣泛。電機(jī)由驅(qū)動(dòng)器控制,驅(qū)動(dòng)器通過改變輸入功率來控制其轉(zhuǎn)矩、速度和位置。高性能
    發(fā)表于 07-21 15:43 ?4086次閱讀
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>系統(tǒng)</b>促進(jìn)<b class='flag-5'>提高</b><b class='flag-5'>電機(jī)</b><b class='flag-5'>控制性能</b>

    電機(jī)控制調(diào)試時(shí)想要精確調(diào)試控制性能如何觀測變量的波形?

    電機(jī)控制調(diào)試時(shí)想要精確調(diào)試控制性能如何觀測變量的波形?
    發(fā)表于 03-26 07:15

    基于FPGA系統(tǒng)提高電機(jī)控制性能

    的設(shè)計(jì)和自動(dòng)生成代碼工具與強(qiáng)大的Xilinx Zynq SoC、ADI公司的隔離、功率、信號(hào)調(diào)理和測量解決方案相結(jié)合,電機(jī)驅(qū)動(dòng)系統(tǒng)的設(shè)計(jì)、驗(yàn)證、測試和實(shí)現(xiàn)可以比以前更有效率,進(jìn)而提高電機(jī)
    發(fā)表于 10-10 18:00

    伺服電機(jī)控制性能的優(yōu)點(diǎn)

    伺服電機(jī)控制性能的優(yōu)越性:(1)低頻特性好。步進(jìn)電機(jī)易出現(xiàn)低速時(shí)低頻振動(dòng)現(xiàn)象。交流伺服電機(jī)不會(huì)出現(xiàn)此現(xiàn)象運(yùn)轉(zhuǎn)非常平穩(wěn).交流伺服系統(tǒng)具有共振抑
    發(fā)表于 10-12 09:54

    基于FPGA系統(tǒng)提高電機(jī)控制性能

    、功率、信號(hào)調(diào)理和測量解決方案相結(jié)合,電機(jī)驅(qū)動(dòng)系統(tǒng)的設(shè)計(jì)、驗(yàn)證、測試和實(shí)現(xiàn)可以比以前更有效率,進(jìn)而提高電機(jī)控制性能并縮短上市時(shí)間。ADI 公
    發(fā)表于 10-19 10:43

    基于實(shí)時(shí)網(wǎng)絡(luò)如何提高多軸運(yùn)動(dòng)控制性能?

    的執(zhí)行必須與同一個(gè)時(shí)鐘同步。第一種同步很好理解,它是網(wǎng)絡(luò)控制器的固有部分。然而,第二種同步到目前為止一直為人所忽視,如今成為運(yùn)動(dòng)控制性能的瓶頸。
    發(fā)表于 07-30 07:56

    實(shí)時(shí)網(wǎng)絡(luò)怎么提高多軸運(yùn)動(dòng)控制性能?

    的執(zhí)行必須與同一個(gè)時(shí)鐘同步。第一種同步很好理解,它是網(wǎng)絡(luò)控制器的固有部分。然而,第二種同步到目前為止一直為人所忽視,如今成為運(yùn)動(dòng)控制性能的瓶頸。
    發(fā)表于 08-07 07:49

    基于 FPGA系統(tǒng)提高電機(jī)控制性能

    簡介電機(jī)在各種工業(yè)、汽車和商業(yè)領(lǐng)域應(yīng)用廣泛。電機(jī)由驅(qū)動(dòng)器控制,驅(qū)動(dòng)器通過改變輸入功率來控制其轉(zhuǎn)矩、速度和位置。高性能
    發(fā)表于 10-17 09:00

    如何提高FPGA系統(tǒng)性能

    本文基于Viitex-5 LX110驗(yàn)證平臺(tái)的設(shè)計(jì),探索了高性能FPGA硬件系統(tǒng)設(shè)計(jì)的一般性方法及流程,以提高FPGA
    發(fā)表于 04-26 06:43

    如何增強(qiáng)工業(yè)電機(jī)控制性能?

    死區(qū)時(shí)間,改善系統(tǒng)性能?! 〈送?,它還支持對系統(tǒng)命令和錯(cuò)誤作出更快速的響應(yīng),這同樣能增強(qiáng)系統(tǒng)可靠性并提高安全性。鑒于這些優(yōu)勢,基于變壓器的隔離式柵極驅(qū)動(dòng)器已成為
    發(fā)表于 03-21 18:02

    基于FPGA系統(tǒng)提高電機(jī)控制性能

    基于FPGA系統(tǒng)提高電機(jī)控制性能 。
    發(fā)表于 01-07 15:00 ?24次下載

    方波工況下牽引電機(jī)參數(shù)不準(zhǔn)對矢量控制性能的影響

    方波工況下牽引電機(jī)參數(shù)不準(zhǔn)對矢量控制性能的影響_周明磊
    發(fā)表于 01-07 17:01 ?0次下載

    如何使用FPGA進(jìn)行系統(tǒng)提高電機(jī)控制性能

    電機(jī)在各種工業(yè)、汽車和商業(yè)領(lǐng)域應(yīng)用廣泛。電機(jī)由驅(qū)動(dòng)器控制,驅(qū)動(dòng)器通過改變輸入功率來控制其轉(zhuǎn)矩、速度和位置。高性能
    的頭像 發(fā)表于 02-03 11:43 ?9493次閱讀
    如何使用<b class='flag-5'>FPGA</b>進(jìn)行<b class='flag-5'>系統(tǒng)</b>的<b class='flag-5'>提高</b><b class='flag-5'>電機(jī)</b><b class='flag-5'>控制性能</b>

    傳感器最新發(fā)展可大幅提高BLDC電機(jī)控制性能

    電子發(fā)燒友網(wǎng)站提供《傳感器最新發(fā)展可大幅提高BLDC電機(jī)控制性能.pdf》資料免費(fèi)下載
    發(fā)表于 11-23 09:40 ?0次下載
    傳感器最新發(fā)展可大幅<b class='flag-5'>提高</b>BLDC<b class='flag-5'>電機(jī)</b><b class='flag-5'>控制性能</b>

    用數(shù)字隔離器技術(shù)來增強(qiáng)工業(yè)電機(jī)控制性能

    電子發(fā)燒友網(wǎng)站提供《用數(shù)字隔離器技術(shù)來增強(qiáng)工業(yè)電機(jī)控制性能.pdf》資料免費(fèi)下載
    發(fā)表于 11-24 16:10 ?0次下載
    用數(shù)字隔離器技術(shù)來增強(qiáng)工業(yè)<b class='flag-5'>電機(jī)</b><b class='flag-5'>控制性能</b>