0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

如何使用10位LVDS串行器/解串器IC對I2S音頻數(shù)據(jù)流進行STP布線

星星科技指導員 ? 來源:ADI ? 作者:ADI ? 2023-01-14 14:40 ? 次閱讀

低壓差分信號(車載數(shù)字視頻路由最有效的接口)也可用作傳輸數(shù)字音頻數(shù)據(jù)流的低成本解決方案。1本應用筆記詳細介紹了如何使用MAX9205/MAX9206 10位LVDS串行器/解串器(SerDes)IC傳輸多達4個I2S 音頻數(shù)據(jù)流通過 STP 布線。

LVDS串行器的優(yōu)勢

由于每次在模擬域和數(shù)字域之間轉換音頻信號時,音質(zhì)都會降低,因此盡可能將音頻數(shù)據(jù)保持為數(shù)字形式以提供最佳音質(zhì)非常重要。MOST總線設計用于車載音頻數(shù)據(jù)傳輸,但實施成本高昂,對于大多數(shù)應用來說都是矯枉過正的。對于消費類音頻設備,S/PDIF通常用于將壓縮的音頻數(shù)據(jù)從一個音頻設備傳輸?shù)搅硪粋€音頻設備。然而,S/PDIF沒有足夠的帶寬以未壓縮格式傳輸5.1或7.1數(shù)字音頻,并且缺乏用于汽車應用的經(jīng)過驗證的可靠物理層。

使用LVDS傳輸數(shù)字音頻數(shù)據(jù)提供了一種強大、低成本、高帶寬的接口解決方案,可以輕松添加到現(xiàn)有硬件中,而不會影響系統(tǒng)資源。I2S流形式的數(shù)字音頻數(shù)據(jù)已經(jīng)可用,可以傳輸?shù)杰囕v中的不同位置,幾乎沒有軟件開銷。通過將音頻數(shù)據(jù)保持為數(shù)字形式,可以從系統(tǒng)中省去多個ADCDAC和電線,從而為其他功能釋放成本和電路板空間。

LVDS已經(jīng)用于將視頻數(shù)據(jù)從攝像頭、DVD播放器和導航系統(tǒng)路由到車輛中的各種顯示器。其低信號幅度和差分結構使LVDS能夠以低電磁輻射傳輸高帶寬數(shù)據(jù)。

MAX9205/MAX9206方案

MAX9205設計用于從單個參考時鐘發(fā)送10位并行數(shù)據(jù)。為了將I2S信號SCLK、WS和SDA0–3作為數(shù)據(jù)傳輸,我們需要一個與SCLK同步且頻率至少為SCLK兩倍的參考時鐘。

離開線束中的模塊的信號必須具有魯棒性,以承受惡劣的汽車環(huán)境和故障條件。LVDS總線需要交流耦合,以防止在發(fā)生高壓短路情況時損壞。由于MAX9205不會自動對輸出信號進行直流平衡,因此必須確保傳輸?shù)臄?shù)據(jù)實際上是直流平衡的。由于我們使用的十個可用輸入中不超過六個,因此我們可以使用剩余的四個輸入來對傳輸?shù)臄?shù)據(jù)進行直流平衡。SCLK和WS信號是對稱信號,因此我們只需要反轉隨機信號SDA0–3并將它們饋送到未使用的輸入中,以確保每個2通道I的1和0的數(shù)量相等。2已傳輸 S 數(shù)據(jù)包。

為了滿足MAX9205的建立和保持時間,并防止MAX9206解串器輸出端產(chǎn)生過多抖動,I2當 S 信號不改變狀態(tài)時,應對其進行采樣。將TCLK_R/F連接至GND,使MAX9205能夠對參考時鐘(TCLK)下降沿的輸入進行采樣。這假設 TCLK 的上升沿對應于 SCLK 更改狀態(tài)的時間。如果這與您的配置不同,請對 TCLK_R/F 進行適當?shù)恼{(diào)整,以確保滿足輸入的建立和保持時間。請參閱下面的圖 1,了解 I 的正確采樣2S 輸入信號。

poYBAGPCTnKAZUiUAAA1cVcpleg120.gif?imgver=1

圖1.I 的采樣2S 輸入信號。

圖 2 說明了應用程序示意圖。

pYYBAGPCTnSAeawTAABzfuiRY3I996.gif?imgver=1

圖2.使用MAX9205/MAX9206傳輸I的原理圖2的音頻數(shù)據(jù)。

原理圖的左側(標有“串行器”)包含串行化和傳輸LVDS音頻數(shù)據(jù)流所需的電路。表1包含串行器電路的元件和信號描述列表。

指定 數(shù)量 描述
R1 1 100Ω ±1% 表面貼裝電阻器
R5, R7 2 10kΩ ±1% 表面貼裝電阻
R8 1 未填充。將 R7 移至此處以示例 I2S 信號位于 REFCLK 的上升沿。
C1, C2 2 0.1μF 25V ±5% 表面貼裝陶瓷電容器
C5, C6 2 1nF 16V ±10% 表面貼裝陶瓷電容
C9, C10 2 0.1μF 25V ±10% 表面貼裝陶瓷電容器
U1 1 MAX9205EAI 10位LVDS串行器
U3, U4 2 逆變器—開機半NL27WZ04DFT2G
SCLK I2S串行時鐘
WS I2S字選擇或左/右通道選擇
SDIN0–3 I2S串行數(shù)據(jù)流
/SDIN0–3 倒置 I2S 串行數(shù)據(jù)流輸入。這種直流平衡LVDS數(shù)據(jù)流,以允許輸出的交流耦合。如果不需要交流耦合,則可以將這些信號連接到GND或用于控制信號。
REFCLK 參考時鐘。此參考時鐘必須至少是頻率的兩倍,并且與 SCLK 同步。使用 48kHz I2S采樣率此時鐘必須至少是SCLK的四倍。輸入 IN0–9 將在 REFCLK 的下降沿進行采樣,其中填充 R8,未填充 R7。
Active-low PWRDN 省電邏輯輸入。下拉以將部件置于關斷模式。

原理圖的右側(標有“解串器”)包含接收和解串LVDS音頻數(shù)據(jù)流所需的電路。表2包含解串器電路的元件和信號描述列表。

指定 數(shù)量 描述
R2 1 100Ω ±1% 表面貼裝電阻器
R3、R4、R6 2 10kΩ ±1% 表面貼裝電阻器
R9 1 10kΩ ±1% 表面貼裝電阻。當填充時,ROUT_在 REFCLK 的下降邊緣被劃出。
R10 1 未填充。將 R9 移至此處,以在 REFCLK 的上升邊緣ROUT_頻閃。
C3, C4 2 0.1μF 25V ±5% 表面貼裝陶瓷電容器
C7, C8 2 1nF 16V ±10% 表面貼裝陶瓷電容器
C11, C12 2 0.1μF 25V ±10% 表面貼裝陶瓷電容器
U1 1 MAX9205EAI 10位LVDS串行器
U2 1 MAX9206EAI 10位LVDS解串器
U3, U4 2 雙逆變器—開機半NL27WZ04DFT2G
SCLK 我2S 串行時鐘
WS 我2S 字選擇或左/右通道選擇
SDO0–3 我2S 串行數(shù)據(jù)流
Active-low LOCK 鎖定指示器。當PLL實現(xiàn)了串行輸入的頻率和相位鎖定,并且?guī)槐蛔R別時,/LOCK變?yōu)榈碗娖健?/strong>
REFCLK 參考時鐘。該時鐘必須在MAX9205參考時鐘頻率的±500ppm范圍內(nèi)。
Active-low PWRDN 省電邏輯輸入。下拉以將部件置于關斷模式。

結論

LVDS是車載數(shù)字視頻路由最有效的接口,也是傳輸音頻數(shù)據(jù)的有效接口。MAX9205/MAX9206 LVDS串行器/解串器IC為傳輸多個II提供簡單、低成本的解決方案。2S 音頻在車輛中的兩點之間流。Maxim的下一代LVDS產(chǎn)品將繼續(xù)改進并支持通過同一STP線發(fā)送控制和數(shù)據(jù),從而消除了對額外控制接口的需求。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • adc
    adc
    +關注

    關注

    97

    文章

    6296

    瀏覽量

    542410
  • 攝像頭
    +關注

    關注

    59

    文章

    4752

    瀏覽量

    94381
  • lvds
    +關注

    關注

    2

    文章

    1018

    瀏覽量

    65387
收藏 人收藏

    評論

    相關推薦

    音頻總線I2S協(xié)議:I2S收發(fā)模塊FPGA的仿真設計

    1 概述 I2S(Inter—IC Sound)總線, 又稱 集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設備之間的音頻數(shù)據(jù)傳輸而制定的一種
    的頭像 發(fā)表于 12-14 17:34 ?8170次閱讀
    <b class='flag-5'>音頻</b>總線<b class='flag-5'>I2S</b>協(xié)議:<b class='flag-5'>I2S</b>收發(fā)模塊FPGA的仿真設計

    如何設置I2S寄存以接收32字的24音頻數(shù)據(jù)?

    。I2S_FIFO_CONF寄存的第 16-18 的 Rx FIFO 模式設置為 2 (= 每通道 24 ,全
    發(fā)表于 07-19 10:14

    基于 I2S/TDM 的車用音頻 I2S 同軸 D 類放大器包括原理圖和框圖

    放大器) * SAT0085(600W 電源解決方案)音頻串行/支持
    發(fā)表于 08-06 10:19

    串行如何構成PHY

    作者:Michael Peffers 歡迎閱讀《獲得連接》系列博客!在上篇《獲得連接》博客《解密串行》一文中,我們探討了如何通過串行
    發(fā)表于 09-13 10:01

    探討串行的技術及其應用

    以及所針對的最終應用。并行數(shù)據(jù)通常將編碼為標準編碼方案(例如 8B/10B 編碼),因而適用于串行化。原始應用數(shù)據(jù)可能包含病態(tài)模式、長期運行的 1 和 0,這會使
    發(fā)表于 09-13 09:54

    用于遠程汽車音頻系統(tǒng)的同軸I2S串行音頻串行

    描述 TIDA-00134 參考設計是 I2S 串行音頻串行,具有用于使用
    發(fā)表于 12-14 15:15

    基于Kinetis微控制eDMA和I2S音頻接口設計介紹

    的解決方案。MAX5556是美信公司一款低功耗、立體聲音頻數(shù)/模轉換(DAC),支持標準I2S總線協(xié)議,采樣精度最高可達24,采樣率為2
    發(fā)表于 06-12 05:00

    利用MAXIICPLD實現(xiàn)SPI至I2S的接口

    引言:本應用筆記介紹怎樣使用Altera®MAX®IICPLD來實現(xiàn)協(xié)議轉換,通過串行外設接口(SPI)控制inter-IC聲音(I2S)總線上的音頻設備
    發(fā)表于 07-05 15:53 ?53次下載

    MAX9206, MAX9208 10總線BLVDS

      MAX9206/MAX9208將高速串行BLVDS數(shù)據(jù)流轉換位10
    發(fā)表于 12-21 09:54 ?1155次閱讀
    MAX9206, MAX9208 <b class='flag-5'>10</b><b class='flag-5'>位</b>總線BLVDS<b class='flag-5'>解</b><b class='flag-5'>串</b><b class='flag-5'>器</b>

    MAX9205, MAX9207 10、總線式LVDS串行

      MAX9205/MAX9207串行10寬度并行LVCMOS/LVTTL數(shù)據(jù)轉換為串行
    發(fā)表于 12-21 09:57 ?1183次閱讀
    MAX9205, MAX9207 <b class='flag-5'>10</b><b class='flag-5'>位</b>、總線式<b class='flag-5'>LVDS</b><b class='flag-5'>串行</b>

    基于FPGA和AD1836的I2S接口設計

    I2S(Inter IC Sound Bus)是飛利浦公司為數(shù)字音頻設備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準,它既規(guī)定了硬件接口規(guī)范,也規(guī)定了數(shù)字
    發(fā)表于 03-29 11:02 ?6466次閱讀
    基于FPGA和AD1836的<b class='flag-5'>I2S</b>接口設計

    I2S音頻總線基本知識科普

    I2S(Inter—IC Sound)總線, 又稱集成電路內(nèi)置音頻總線,是飛利浦公司為數(shù)字音頻設備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標準。
    的頭像 發(fā)表于 04-12 07:48 ?2.8w次閱讀
    <b class='flag-5'>I2S</b><b class='flag-5'>音頻</b>總線基本知識科普

    在汽車應用中使用MAX9205/MAX9206 LVDS SerDes傳輸I2S音頻

    低壓差分信號(車載數(shù)字視頻路由最有效的接口)也可用作傳輸數(shù)字音頻數(shù)據(jù)流的低成本解決方案。1本應用筆記詳細介紹了如何使用MAX9205/MAX9206 10LVDS
    的頭像 發(fā)表于 02-08 16:46 ?1992次閱讀
    在汽車應用中使用MAX9205/MAX9206 <b class='flag-5'>LVDS</b> SerDes傳輸<b class='flag-5'>I2S</b><b class='flag-5'>音頻</b>流

    基于I2S接口的Linux內(nèi)核音頻技術

    I2S是飛利浦定義的數(shù)字音頻傳輸標準,用于數(shù)字音頻數(shù)據(jù)在系統(tǒng)內(nèi)部器件之間傳輸。
    發(fā)表于 02-27 14:00 ?2254次閱讀
    基于<b class='flag-5'>I2S</b>接口的Linux內(nèi)核<b class='flag-5'>音頻</b>技術

    DS92LV18 18總線LVDS串行/數(shù)據(jù)

    電子發(fā)燒友網(wǎng)站提供《DS92LV18 18總線LVDS串行/
    發(fā)表于 07-04 10:48 ?0次下載
    DS92LV18 18<b class='flag-5'>位</b>總線<b class='flag-5'>LVDS</b><b class='flag-5'>串行</b><b class='flag-5'>器</b>/<b class='flag-5'>解</b><b class='flag-5'>串</b><b class='flag-5'>器</b><b class='flag-5'>數(shù)據(jù)</b>表