0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

科普一下xilinx verilog語法技巧

FPGA之家 ? 來源:FPGA開源工作室 ? 2023-01-30 16:21 ? 次閱讀

在Vivado Design Suite中,Vivado綜合能夠合成多種類型的屬性。在大多數情況下,這些屬性具有相同的語法和相同的行為。

?如果Vivado綜合支持該屬性,它將使用該屬性,并創(chuàng)建反映已使用屬性的邏輯。

?如果工具無法識別指定的屬性,則Vivado綜合會將屬性及其值傳遞給生成的網表。

1.ASYNC_REG

ASYNC_REG是影響Vivado工具流中許多進程的屬性。此屬性的目的是通知工具寄存器能夠在D輸入引腳中接收相對于源時鐘的異步數據,或者該寄存器是同步鏈中的同步寄存器。

當遇到此屬性時,Vivado綜合會將其視為DONT_TOUCH屬性,并在網表中向前推送ASYNC_REG屬性。此過程可確保具有ASYNC_REG屬性的對象未進行優(yōu)化,并且流程中稍后的工具會接收屬性以正確處理它。

您可以將此屬性放在任何寄存器上;值為FALSE(默認值)和TRUE??梢栽赗TL或XDC中設置此屬性。

ASYNC_REG Verilog Example:

(*ASYNC_REG = “TRUE” *) reg [2:0] sync_regs;

2.CLOCK_BUFFER_TYPE

在輸入時鐘上應用CLOCK_BUFFER_TYPE以描述要使用的時鐘緩沖器類型。

默認情況下,Vivado綜合使用BUFG作為時鐘緩沖器。支持的值是“BUFG”,“BUFH”,“BUFIO”,“BUFMR”,“BUFR”或“無”。CLOCK_BUFFER_TYPE屬性可以放在任何頂級時鐘端口上。它可以在RTL和XDC中設置。

CLOCK_BUFFER_TYPE Verilog Example

(* clock_buffer_type = “none”*) input clk1;

CLOCK_BUFFER_TYPE XDC Example

set_property CLOCK_BUFFER_TYPEBUFG [get_ports clk]

3 FSM_ENCODING

FSM_ENCODING控制狀態(tài)機上的編碼。通常,Vivado工具會根據最適合大多數設計的啟發(fā)式方法為狀態(tài)機選擇編碼協(xié)議。某些設計類型使用特定的編碼協(xié)議可以更好地工作

FSM_ENCODING可以放在狀態(tài)機寄存器上。其合法價值是“one_hot”,“sequential”,“johnson”,“grey”,“auto”和“none”?!癮uto”值是默認值,允許工具確定最佳編碼??梢栽赗TL或XDC中設置此屬性。

FSM_ENCODING Example (Verilog)

(* fsm_encoding = “one_hot” *)reg [7:0] my_state;

4 KEEP

使用KEEP屬性可防止信號優(yōu)化或被吸收到邏輯塊中的優(yōu)化。此屬性指示綜合工具保持其所處的信號,并將該信號放入網表中。

例如,如果信號是2位AND門的輸出,并且它驅動另一個AND門,則KEEP屬性可用于防止該信號合并到包含兩個AND門的較大LUT中。

KEEP也常用于時序約束。如果對通常會優(yōu)化的信號存在時序約束,KEEP會阻止該操作,并允許使用正確的時序規(guī)則

KEEP Example (Verilog)

(* keep = “true” *) wire sig1;

assign sig1 = in1 & in2;

assign out1 = sig1 & in2;

5 RAM_STYLE

RAM_STYLE指示Vivado綜合工具如何推斷內存??山邮艿闹凳牵?/p>

?block:指示工具推斷RAMB類型組件。

?distributed:指示工具推斷LUT RAM。

?寄存器:指示工具推斷寄存器而不是RAM。

?ultra:指示工具使用UltraScale +URAM原語。

默認情況下,該工具根據啟發(fā)式選擇要推斷的RAM,以便為大多數設計提供最佳結果。將此屬性放在為RAM聲明的數組或層次結構級別上。

?如果設置了信號,該屬性將影響該特定信號。

?如果設置在層次結構級別上,則會影響該層次結構級別中的所有RAM。

層次結構的子級別不受影響。

這可以在RTL或XDC中設置。

RAM_STYLE Example (Verilog)

(* ram_style = “distributed” *)reg [data_size-1:0] myram [2**addr_size-1:0];

6 ROM_STYLE

ROM_STYLE指示綜合工具如何推斷ROM存儲器??山邮艿闹凳牵?/p>

?block:指示工具推斷RAMB類型組件

?distributed:指示工具推斷LUT ROM。默認情況下,該工具根據啟發(fā)式選擇要推斷的ROM,以便為大多數設計提供最佳結果。

這可以在RTL和XDC中設置。

ROM_STYLE Example (Verilog)

(* rom_style = “distributed” *)reg [data_size-1:0] myrom [2**addr_size-1:0];






審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5250

    瀏覽量

    119195
  • HDL
    HDL
    +關注

    關注

    8

    文章

    324

    瀏覽量

    47230
  • 觸發(fā)器
    +關注

    關注

    14

    文章

    1990

    瀏覽量

    60868
  • 數字邏輯電路

    關注

    0

    文章

    100

    瀏覽量

    15741
  • SRL
    SRL
    +關注

    關注

    0

    文章

    5

    瀏覽量

    6710

原文標題:xilinx verilog 語法技巧

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    硬件描述語言(HDL)編碼技術:xilinx verilog語法技巧

    xilinx verilog語法技巧 硬件描述語言(HDL)編碼技術讓您: ?描述數字邏輯電路中最常見的功能。 ?充分利用Xilinx?
    的頭像 發(fā)表于 12-13 10:29 ?3395次閱讀

    深入理解FPGA Verilog HDL語法()

    今天給大俠帶來的是周掌握FPGA Verilog HDL 語法,今天開啟第天,下面咱們廢話就不多說了,起來看看吧。
    發(fā)表于 07-18 09:47 ?2823次閱讀

    Verilog紅寶書_基本語法__恒創(chuàng)科技出品 V1.1

    Verilog紅寶書_基本語法__恒創(chuàng)科技出品 V1.1
    發(fā)表于 10-09 08:53

    Verilog基礎語法

    Verilog基礎語法
    發(fā)表于 05-27 08:00

    科普一下ZYNQ是什么

    關注、星標公眾號,直達精彩內容來源:ZYNQ作者:watchman最近公司開始做個項目,再次使用到ZYNQ,今天給大家科普一下ZYNQ是什么,以及ZYNQ在嵌入式系統(tǒng)中的應用情況。
    發(fā)表于 11-05 08:53

    小編科普一下RK3399/libdrm/modetest

    小編科普一下RK3399/libdrm/modetest
    發(fā)表于 03-07 07:06

    可綜合的Verilog語法和語義

    可綜合的Verilog語法和語義(劍橋大學,影?。?第七版
    發(fā)表于 05-21 14:50 ?27次下載
    可綜合的<b class='flag-5'>Verilog</b><b class='flag-5'>語法</b>和語義

    Verilog_HDL的基本語法詳解(夏宇聞版)

    Verilog_HDL的基本語法詳解(夏宇聞版):Verilog HDL是種用于數字邏輯電路設計的語言。用Verilog HDL描述的電路
    發(fā)表于 10-08 14:48 ?0次下載
    <b class='flag-5'>Verilog</b>_HDL的基本<b class='flag-5'>語法</b>詳解(夏宇聞版)

    Verilog HDL實驗練習與語法手冊

    Verilog HDL實驗練習與語法手冊-高教
    發(fā)表于 05-11 11:30 ?0次下載

    常見的Verilog行為級描述語法

    常見的Verilog描述語句與對應的邏輯關系;熟悉語法與邏輯之間的關系
    的頭像 發(fā)表于 09-15 08:18 ?1w次閱讀
    常見的<b class='flag-5'>Verilog</b>行為級描述<b class='flag-5'>語法</b>

    通過實例設計來加深Verilog描述語法理解

    作者:小魚,Xilinx學術合作 .概述 在文章《Verilog HDL入門思路梳理》我們說過應該如何去學習Verilog HDL描述。然而第
    的頭像 發(fā)表于 01-02 09:45 ?1464次閱讀
    通過實例設計來加深<b class='flag-5'>Verilog</b>描述<b class='flag-5'>語法</b>理解

    Verilog語法進階

    Verilog語法進階說明。
    發(fā)表于 05-06 16:14 ?30次下載

    簡單介紹一下-Verilog-AMS的基礎知識

    -Verilog-AMS,今天就簡單介紹一下-Verilog-AMS。 為了便于物理系統(tǒng)的建模,人們在Verilog-2005的基礎上,添加了
    的頭像 發(fā)表于 10-21 14:50 ?9596次閱讀
    簡單介紹<b class='flag-5'>一下</b>-<b class='flag-5'>Verilog</b>-AMS的基礎知識

    Verilog HDL入門教程-Verilog HDL的基本語法

    Verilog HDL入門教程-Verilog HDL的基本語法
    發(fā)表于 01-07 09:23 ?171次下載

    科普一下MTU是什么,如何設置MTU

    歡迎來到東用知識小課堂,下面我們就來科普一下一下MTU是什么,如何設置MTUMTU是最大傳輸單元的意思,代指類通訊協(xié)議某層上所能通過的最大數據包大小(以byte為單位)。最大傳輸單
    的頭像 發(fā)表于 10-29 06:00 ?4314次閱讀
    <b class='flag-5'>科普</b><b class='flag-5'>一下</b>MTU是什么,如何設置MTU