0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

介紹用ModelSim獨立仿真帶Vivado IP核的仿真方案

FPGA技術(shù)江湖 ? 來源:網(wǎng)絡(luò)交換FPGA ? 2023-02-06 14:22 ? 次閱讀

之前介紹了用ModlSim獨立仿真帶ISE IP核的仿真工程,本次介紹用ModelSim獨立仿真帶Vivado IP核的仿真工程。整體步驟基本一樣,只是do文件分成了兩個文件。使用工具Vivado2017.2 && Modelsim 10.5。

操作步驟

1、找到Vivado調(diào)用Modelsim仿真時自動產(chǎn)生的仿真文件,如下圖紅線所示。

f2a8a240-a3c1-11ed-bfe3-dac502259ad0.jpg

2、在behave文件夾同級路徑下新建立一個文件夾,本實例中為test。

f2bf2cae-a3c1-11ed-bfe3-dac502259ad0.jpg

3、將所需的仿真文件復(fù)制到新文件夾中。

f2ce1016-a3c1-11ed-bfe3-dac502259ad0.jpg

4、將兩個.do文件的內(nèi)容合并成一個文件。

我采取的辦法是新建一個.do文件,即tb_top_test.do,然后用sublime打開tb_top_compile.do,將除quit –force語句的其他所有內(nèi)容復(fù)制進(jìn)tb_top_test.do,然后用sublime打開tb_top_simulate.do,將除do {tb_top_wave.do}和接下來的語句的其他所有內(nèi)容復(fù)制進(jìn)tb_top_test.do,然后保存tb_top_test.do。

f2dc5cc0-a3c1-11ed-bfe3-dac502259ad0.jpg

f2ee16b8-a3c1-11ed-bfe3-dac502259ad0.png

5、打開modelsim,新建工程,在Project_location選步驟3新建的文件夾,Copy_SettingsFrom選擇該文件夾下的modelsim.ini文件,然后點擊OK.

f317f4d8-a3c1-11ed-bfe3-dac502259ad0.jpg

6、點擊close

f3380fe8-a3c1-11ed-bfe3-dac502259ad0.jpg

7、運行步驟4所生成的.do文件

f346eef0-a3c1-11ed-bfe3-dac502259ad0.jpg

8、運行后會出現(xiàn)下圖所示的界面,將信號添加進(jìn)波形圖。

f3590130-a3c1-11ed-bfe3-dac502259ad0.jpg

9、在波形界面進(jìn)行仿真,第一次運行出現(xiàn)下圖所示界面,點擊否(這個界面可能多次彈出,點否就行了)。

f386d16e-a3c1-11ed-bfe3-dac502259ad0.png

10、再進(jìn)行仿真,即可得到波形圖

f3a4b440-a3c1-11ed-bfe3-dac502259ad0.jpg







審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • ModelSim
    +關(guān)注

    關(guān)注

    5

    文章

    172

    瀏覽量

    47012
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65853

原文標(biāo)題:用Modelsim獨立仿真帶Vivado IP核的仿真工程

文章出處:【微信號:HXSLH1010101010,微信公眾號:FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    如何用Python實現(xiàn)VivadoModelSim仿真自動化?

    我們在Windows系統(tǒng)下使用Vivado的默認(rèn)設(shè)置調(diào)用第三方仿真器比如ModelSim進(jìn)行仿真時,一開始仿真軟件都會默認(rèn)在波形界面中加載t
    的頭像 發(fā)表于 09-13 09:23 ?1064次閱讀
    如何用Python實現(xiàn)<b class='flag-5'>Vivado</b>和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自動化?

    怎樣單獨使用modelsim仿真xilinx呢?

    直接在modelsim軟件內(nèi)執(zhí)行.do文件進(jìn)行仿真,不通過vivado調(diào)用modelsim,vivado僅用于生成
    的頭像 發(fā)表于 12-04 18:26 ?1083次閱讀
    怎樣單獨使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    如何仿真IP(建立modelsim仿真庫完整解析)

    如何仿真IP(建立modelsim仿真庫完整解析)
    發(fā)表于 08-15 13:16

    modelsim 仿真 altera IP(ROM,RAM實例

    modelsim 仿真 altera IP(ROM,RAM實例)急求大神們ROM和RAM 的綜合仿真代碼
    發(fā)表于 11-19 21:02

    基于vivado平臺和modelsim仿真和應(yīng)用測試

    很多人zynq平臺做視頻圖像開發(fā),但是對vdma了解比較少,上手起來稍微有些困難,我針對這一現(xiàn)象,做了一個基于vivadomodelsim仿真和應(yīng)用測試工程,并寫篇文章做些
    發(fā)表于 06-30 14:33 ?5571次閱讀
    基于<b class='flag-5'>vivado</b>平臺和<b class='flag-5'>modelsim</b>的<b class='flag-5'>仿真</b>和應(yīng)用測試

    VCS獨立仿真Vivado IP的一些方法總結(jié)

    前年,發(fā)表了一篇文章《VCS獨立仿真Vivado IP的一些方法總結(jié)》(鏈接在參考資料1),里面簡單講述了使用VCS
    的頭像 發(fā)表于 03-22 10:31 ?3922次閱讀

    淺析modelsim 自動化 高效獨立仿真vivado IP的工程

    1.modelsim編譯vivado庫 1)雙擊啟動vivado軟件,如下圖操作。 2)Simulator:選對應(yīng)的, Language:都選all, Verilog與vhdl都用可能
    的頭像 發(fā)表于 06-01 11:33 ?2711次閱讀
    淺析<b class='flag-5'>modelsim</b> 自動化 高效<b class='flag-5'>獨立</b><b class='flag-5'>仿真</b>含<b class='flag-5'>vivado</b> <b class='flag-5'>IP</b><b class='flag-5'>核</b>的工程

    Vivado調(diào)用Questa Sim或ModelSim仿真小技巧

    Vivado調(diào)用Questa Sim或ModelSim仿真中存在的一些自動化問題的解決方案。 Vivado調(diào)用Questa Sim
    的頭像 發(fā)表于 09-02 10:12 ?8129次閱讀
    <b class='flag-5'>Vivado</b>調(diào)用Questa Sim或<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>小技巧

    VivadoModelSim的聯(lián)合仿真操作

    Vivado自帶的仿真,個人覺得跑一些小模塊的仿真還是可以的,不過跑大的仿真系統(tǒng),容易無體驗感,建議第三方工具,這邊就直接對
    的頭像 發(fā)表于 03-11 11:32 ?7306次閱讀

    使用VCS仿真Vivado IP時遇到的問題及解決方案

    前年,發(fā)表了一篇文章《VCS獨立仿真Vivado IP的一些方法總結(jié)》(鏈接在參考資料1),里面簡單講述了使用VCS
    的頭像 發(fā)表于 08-29 14:41 ?2010次閱讀

    VCS獨立仿真Vivado IP的一些方法總結(jié)

    最近,需要使用VCS仿真一個高速并串轉(zhuǎn)換的Demo,其中需要用到Vivado的SelectIO IP以及IDELAYCTRL,IDELAY2原語。而此前我只使用VCS
    的頭像 發(fā)表于 06-06 11:09 ?2384次閱讀
    VCS<b class='flag-5'>獨立</b><b class='flag-5'>仿真</b><b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b><b class='flag-5'>核</b>的一些方法總結(jié)

    記錄VCS仿真IP只有VHDL文件的解決方法

    使用VCS仿真Vivado里面的IP時,如果VivadoIP
    的頭像 發(fā)表于 06-06 11:15 ?1912次閱讀
    記錄VCS<b class='flag-5'>仿真</b>的<b class='flag-5'>IP</b><b class='flag-5'>核</b>只有VHDL文件的解決方法

    VCS獨立仿真Vivado IP的問題補充

    仿真Vivado IP時分兩種情況,分為未使用SECURE IP和使用了SECURE
    的頭像 發(fā)表于 06-06 14:45 ?1522次閱讀
    VCS<b class='flag-5'>獨立</b><b class='flag-5'>仿真</b><b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b><b class='flag-5'>核</b>的問題補充

    Vivado調(diào)用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以調(diào)用Modelsim進(jìn)行仿真,下面將介紹
    的頭像 發(fā)表于 07-24 09:04 ?3142次閱讀
    <b class='flag-5'>Vivado</b>調(diào)用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    vivado軟件和modelsim軟件的安裝方法

    本文詳細(xì)介紹vivado軟件和modelsim軟件的安裝,以及vivado中配置modelsim仿真
    的頭像 發(fā)表于 08-07 15:48 ?3963次閱讀
    <b class='flag-5'>vivado</b>軟件和<b class='flag-5'>modelsim</b>軟件的安裝方法