0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SystemVerilog的結(jié)構(gòu)體-1

汽車電子技術(shù) ? 來(lái)源:OpenFPGA ? 作者: 碎碎思 ? 2023-02-09 15:26 ? 次閱讀

數(shù)字硬件建模SystemVerilog-結(jié)構(gòu)體(一)

poYBAGPkn2GALZ3EAAThsbJ2QM4493.png

pYYBAGPkn26AfnHsAAG4PgudtCo769.png

結(jié)構(gòu)體

結(jié)構(gòu)體用于將多個(gè)變量組合在一個(gè)通用名稱下。設(shè)計(jì)通常具有邏輯信號(hào)組,例如總線協(xié)議的控制信號(hào),或狀態(tài)控制器內(nèi)使用的信號(hào)。結(jié)構(gòu)體提供了將這些相關(guān)變量捆綁在一起的方法。結(jié)構(gòu)體中的所有變量都可以單個(gè)賦值,或者每個(gè)變量都可以單獨(dú)賦值。結(jié)構(gòu)體包可以復(fù)制到具有相同定義的另一個(gè)結(jié)構(gòu)體,并通過(guò)模塊端口、任務(wù)或函數(shù)進(jìn)出。

結(jié)構(gòu)體聲明

結(jié)構(gòu)體是使用struct關(guān)鍵字聲明的,類似于C語(yǔ)言。struct關(guān)鍵字后面跟著一個(gè)開始的大括號(hào)( { )變量聲明列表,結(jié)束的大括號(hào)(}),然后是結(jié)構(gòu)體的名稱。

poYBAGPkn3qAP5SDAADbXLheCUk319.png

一個(gè)結(jié)構(gòu)體可以將任意數(shù)量的變量數(shù)據(jù)類型捆綁在一起,用戶自定義類型、Parameter和localparam常量也可以包含在一個(gè)結(jié)構(gòu)體中,結(jié)構(gòu)體中的Parameter不能像模塊中的Parameter那樣重新定義,結(jié)構(gòu)體中的參數(shù)被視為localparam。

結(jié)構(gòu)體成員賦值

結(jié)構(gòu)體中的變量稱為結(jié)構(gòu)體成員。每個(gè)成員都有一個(gè)名稱,可用于從結(jié)構(gòu)體中選擇該成員。使用結(jié)構(gòu)體的名稱引用結(jié)構(gòu)體成員,后跟句點(diǎn)(.)然后是成員的名字。這與C中的語(yǔ)法相同。例如,要為前面結(jié)構(gòu)體的address成員賦值,引用為:

pYYBAGPkn4WAXfXxAABYbLMYmVk544.png

結(jié)構(gòu)體不同于數(shù)組,因?yàn)閿?shù)組是所有類型和大小相同的元素的集合,而結(jié)構(gòu)體是不同類型和大小的變量和常量的集合。另一個(gè)區(qū)別是,數(shù)組的元素是通過(guò)在數(shù)組中使用索引來(lái)引用的;結(jié)構(gòu)體的成員是通過(guò)使用成員名稱來(lái)引用的。

整個(gè)結(jié)構(gòu)體賦值

可以為整個(gè)結(jié)構(gòu)體指定一個(gè)結(jié)構(gòu)體表達(dá)式。結(jié)構(gòu)體表達(dá)式是使用逗號(hào)分隔的值列表形成的,這些值包含在標(biāo)記’{}之間,這與將一組值分配給數(shù)組的方式相同,大括號(hào)必須包含結(jié)構(gòu)體中每個(gè)成員的值。例如:

poYBAGPkn4-AU3dEAAC3H_R3VVc987.png

結(jié)構(gòu)體表達(dá)式中的值必須按照在結(jié)構(gòu)體中定義的順序列出,如前一示例所示?;蛘?,結(jié)構(gòu)體表達(dá)式可以指定要為其賦值的結(jié)構(gòu)體成員的名稱,其中成員名稱和值用冒號(hào)(:)分隔。結(jié)構(gòu)體表達(dá)式中的成員名稱稱為tags。指定成員名稱后,表達(dá)式列表可以是任意順序。

pYYBAGPkn5iAJ6Z_AAA5CM4b_sI096.png

在同一個(gè)結(jié)構(gòu)體表達(dá)式中混合按名稱和按順序賦值是非法的。

結(jié)構(gòu)體表達(dá)式中的默認(rèn)值。結(jié)構(gòu)體表達(dá)式可以通過(guò)指定默認(rèn)值為一個(gè)結(jié)構(gòu)體的多個(gè)成員指定值,默認(rèn)值是使用default關(guān)鍵字指定的。

poYBAGPkn6SAVwPZAAAwNpLtlmA137.png

結(jié)構(gòu)體表達(dá)式還可以包含對(duì)特定結(jié)構(gòu)體成員的混合賦值,以及對(duì)所有其他成員指定默認(rèn)值。

pYYBAGPkn7eALnUUAAAleJsWGV0098.png

結(jié)構(gòu)體中的枚舉數(shù)據(jù)類型。前兩個(gè)帶有默認(rèn)值的示例存在語(yǔ)義錯(cuò)誤,分配給結(jié)構(gòu)體成員的默認(rèn)值必須與成員的數(shù)據(jù)類型兼容。由于大多數(shù)SystemVerilog變量都是弱類型的,因此幾乎所有默認(rèn)值都是兼容的。然而,枚舉數(shù)據(jù)類型變量的類型更強(qiáng)。對(duì)枚舉數(shù)據(jù)類型變量的賦值必須是其枚舉列表中的標(biāo)簽,或者是同一枚舉數(shù)據(jù)類型定義的另一個(gè)枚舉變量。

上述instruction_word 的兩個(gè)賦值語(yǔ)句試圖將opcode的默認(rèn)值賦值為0。這是opcode的非法值,它是一個(gè)opcode_t枚舉數(shù)據(jù)類型變量(opcode_t的typedef定義是之前枚舉變量章節(jié))。當(dāng)結(jié)構(gòu)體的成員是枚舉數(shù)據(jù)類型變量時(shí),結(jié)構(gòu)體表達(dá)式必須為該成員指定合法的顯式值??梢詾樗衅渌蓡T指定默認(rèn)值。例如:

pYYBAGPkn8WAWKOQAABcJUyV-5w537.png

自定義和匿名結(jié)構(gòu)體

用戶自定義類型可以使用typedef關(guān)鍵字從結(jié)構(gòu)體中創(chuàng)建。將結(jié)構(gòu)體聲明為用戶自定義類型不會(huì)分配任何存儲(chǔ)空間。在使用該結(jié)構(gòu)體之前,必須聲明該用戶自定義類型的網(wǎng)絡(luò)或變量。

pYYBAGPkn9CAP72vAADS_DFarQA142.png

不使用typedef的結(jié)構(gòu)體被定義為匿名結(jié)構(gòu)體。使用typedef聲明的結(jié)構(gòu)體被視為自定義結(jié)構(gòu)體。匿名結(jié)構(gòu)體和自定義結(jié)構(gòu)體都可以在模塊中定義,但這些本地(局部)定義只能在該模塊中使用。自定義結(jié)構(gòu)體也可以在包中定義,并導(dǎo)入到需要結(jié)構(gòu)體定義的設(shè)計(jì)塊中。包中定義的自定義結(jié)構(gòu)體可用于多個(gè)模塊和驗(yàn)證測(cè)試臺(tái)。

結(jié)構(gòu)體復(fù)制

一個(gè)自定義結(jié)構(gòu)體可以復(fù)制到另一個(gè)自定義結(jié)構(gòu)體,只要這兩個(gè)結(jié)構(gòu)體是從同一個(gè)自定義結(jié)構(gòu)體定義聲明的。以下示例使用了上節(jié)中所示的結(jié)構(gòu)體定義和聲明。

匿名結(jié)構(gòu)體不能作為一個(gè)整體復(fù)制,但可以一次復(fù)制一個(gè)成員:

pYYBAGPkn96AJ-PpAABvGEwBA2I389.png
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 總線協(xié)議
    +關(guān)注

    關(guān)注

    0

    文章

    111

    瀏覽量

    14811
  • 控制信號(hào)
    +關(guān)注

    關(guān)注

    0

    文章

    153

    瀏覽量

    11917
  • 結(jié)構(gòu)體
    +關(guān)注

    關(guān)注

    1

    文章

    127

    瀏覽量

    10800
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    systemverilog學(xué)習(xí)教程

    systemverilog的一些基本語(yǔ)法以及和verilog語(yǔ)言之間的區(qū)別。
    發(fā)表于 04-01 14:24

    漫談C語(yǔ)言結(jié)構(gòu)

    我放在下面?! ≡诖?,我會(huì)圍繞以下2個(gè)問(wèn)題來(lái)分析和應(yīng)用C語(yǔ)言結(jié)構(gòu):  1. C語(yǔ)言中的結(jié)構(gòu)有何作用  2.
    發(fā)表于 11-15 15:59

    GPIO結(jié)構(gòu)定義

    #include "stm32f4xx.h"#include "usart.h"void My_USART1_Init(void){//GPIO結(jié)構(gòu)定義 GPIO_InitTypeDefGPIO_InitStructure;/
    發(fā)表于 08-04 07:47

    使用結(jié)構(gòu)的主要作用

    結(jié)構(gòu)作用(STM32)來(lái)源:正點(diǎn)原子MDK 中很多地方使用結(jié)構(gòu)以及結(jié)構(gòu)指針,下面總結(jié)一下其
    發(fā)表于 08-24 07:54

    結(jié)構(gòu)變量的定義與使用變量訪問(wèn)結(jié)構(gòu)成員

    知識(shí)點(diǎn)回顧關(guān)于找最大公共子串的兩種解題方法結(jié)構(gòu)的定義(3種)結(jié)構(gòu)變量的定義與使用變量訪問(wèn)結(jié)構(gòu)
    發(fā)表于 12-17 07:10

    消息隊(duì)列傳遞結(jié)構(gòu)指針和結(jié)構(gòu)異同

    FreeRTOS消息隊(duì)列 傳遞結(jié)構(gòu)指針和結(jié)構(gòu)異同1 消息隊(duì)列傳遞結(jié)構(gòu)
    發(fā)表于 02-11 07:02

    SystemVerilog Assertion Handbo

    SystemVerilog Assertion Handbook1 ROLE OF SYSTEMVERILOG ASSERTIONSIN A VERIFICATION METHODOLOGY
    發(fā)表于 07-22 14:08 ?188次下載

    SystemVerilog的斷言手冊(cè)

    SystemVerilog Assertion Handbook1 ROLE OF SYSTEMVERILOG ASSERTIONSIN A VERIFICATION METHODOLOGY
    發(fā)表于 07-22 14:12 ?20次下載

    基于事件結(jié)構(gòu)SystemVerilog指稱語(yǔ)義

    本文利用形式化的方法對(duì)SystemVerilog的指稱語(yǔ)義進(jìn)行研究,采用EBES(extendedbundle event structure)作為抽象模型,以便更好的描述SystemVerilog真并發(fā)的特點(diǎn)。我們的主要工作是:首先,
    發(fā)表于 12-22 14:01 ?12次下載

    共用結(jié)構(gòu)的區(qū)別

    在c++中,結(jié)構(gòu)(struct)和共用(union)是兩種很相似的復(fù)合數(shù)據(jù)類型,都可以用來(lái)存儲(chǔ)多種數(shù)據(jù)類型,但是兩者還有很大的區(qū)別。 結(jié)構(gòu)
    發(fā)表于 11-19 17:46 ?3.7w次閱讀

    數(shù)字硬件建模SystemVerilog-結(jié)構(gòu)

    默認(rèn)情況下,結(jié)構(gòu)體會(huì)被非壓縮的。這意味著結(jié)構(gòu)的成員被視為獨(dú)立變量或常量,并以一個(gè)共同的名稱分組在一起。SystemVerilog沒(méi)有指定軟件工具應(yīng)該如何存儲(chǔ)非壓縮
    的頭像 發(fā)表于 06-30 09:54 ?912次閱讀

    SystemVerilog中可以嵌套的數(shù)據(jù)結(jié)構(gòu)

    SystemVerilog中除了數(shù)組、隊(duì)列和關(guān)聯(lián)數(shù)組等數(shù)據(jù)結(jié)構(gòu),這些數(shù)據(jù)結(jié)構(gòu)還可以嵌套。
    的頭像 發(fā)表于 11-03 09:59 ?1465次閱讀

    SystemVerilog中至關(guān)重要的結(jié)構(gòu)和自定義類型

    在上一篇文章《SystemVerilog中至關(guān)重要的的數(shù)據(jù)類型》中,介紹了枚舉類型的本質(zhì)和使用語(yǔ)法。本文接著介紹SV中同樣不可忽略的結(jié)構(gòu)(structure)和自定義類型(typedef),最后也
    的頭像 發(fā)表于 01-21 17:18 ?1811次閱讀
    <b class='flag-5'>SystemVerilog</b>中至關(guān)重要的<b class='flag-5'>結(jié)構(gòu)</b><b class='flag-5'>體</b>和自定義類型

    SystemVerilog結(jié)構(gòu)-2

    結(jié)構(gòu)體用于將多個(gè)變量組合在一個(gè)通用名稱下。設(shè)計(jì)通常具有邏輯信號(hào)組,例如總線協(xié)議的控制信號(hào),或狀態(tài)控制器內(nèi)使用的信號(hào)。結(jié)構(gòu)提供了將這些相關(guān)變量捆綁在一起的方法。結(jié)構(gòu)
    的頭像 發(fā)表于 02-09 15:32 ?695次閱讀
    <b class='flag-5'>SystemVerilog</b>的<b class='flag-5'>結(jié)構(gòu)</b><b class='flag-5'>體</b>-2

    結(jié)構(gòu)與指針的關(guān)系

    指針則是指向結(jié)構(gòu)類型的指針,用于操作和訪問(wèn)結(jié)構(gòu)的成員。下面我們將分別詳細(xì)解釋結(jié)構(gòu)
    的頭像 發(fā)表于 01-11 08:00 ?766次閱讀
    <b class='flag-5'>結(jié)構(gòu)</b><b class='flag-5'>體</b>與指針的關(guān)系