0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SystemVerilog的結(jié)構(gòu)體-2

汽車電子技術(shù) ? 來源:OpenFPGA ? 作者:碎碎思 ? 2023-02-09 15:32 ? 次閱讀

數(shù)字硬件建模SystemVerilog-結(jié)構(gòu)體(二)

poYBAGPkoG6AH_JoAATLr5UXX3c768.pngpYYBAGPkoHyATFI0AAG45JVJsvk658.png

結(jié)構(gòu)體

結(jié)構(gòu)體用于將多個(gè)變量組合在一個(gè)通用名稱下。設(shè)計(jì)通常具有邏輯信號(hào)組,例如總線協(xié)議的控制信號(hào),或狀態(tài)控制器內(nèi)使用的信號(hào)。結(jié)構(gòu)體提供了將這些相關(guān)變量捆綁在一起的方法。結(jié)構(gòu)體中的所有變量都可以單個(gè)賦值,或者每個(gè)變量都可以單獨(dú)賦值。結(jié)構(gòu)體包可以復(fù)制到具有相同定義的另一個(gè)結(jié)構(gòu)體,并通過模塊端口、任務(wù)或函數(shù)進(jìn)出。

結(jié)構(gòu)體復(fù)制

一個(gè)自定義結(jié)構(gòu)體可以復(fù)制到另一個(gè)自定義結(jié)構(gòu)體,只要這兩個(gè)結(jié)構(gòu)體是從同一個(gè)自定義結(jié)構(gòu)體定義聲明的。以下示例使用了上節(jié)中所示的結(jié)構(gòu)體定義和聲明。

匿名結(jié)構(gòu)體不能作為一個(gè)整體復(fù)制,但可以一次復(fù)制一個(gè)成員:

pYYBAGPkoMqAJSKBAABqdjX9Vfo195.png

壓縮和非壓縮結(jié)構(gòu)體

默認(rèn)情況下,結(jié)構(gòu)體會(huì)被非壓縮的。這意味著結(jié)構(gòu)體的成員被視為獨(dú)立變量或常量,并以一個(gè)共同的名稱分組在一起。SystemVerilog沒有指定軟件工具應(yīng)該如何存儲(chǔ)非壓縮結(jié)構(gòu)體的成員。不同的軟件工具具對(duì)于結(jié)構(gòu)體的存儲(chǔ)分布也是不同的。

通過使用關(guān)鍵字packed,將結(jié)構(gòu)體顯式聲明為壓縮結(jié)構(gòu)體。

poYBAGPkoNaAP8p7AABHKlP0IuI196.png

壓縮結(jié)構(gòu)體以與向量相同的形式將結(jié)構(gòu)體的所有成員存儲(chǔ)為連續(xù)位。結(jié)構(gòu)體的第一個(gè)成員是向量最左邊的字段。結(jié)構(gòu)體中最后一個(gè)成員的最右邊的位是向量的最低有效位,編號(hào)為位0。如圖4-2所示。

poYBAGPkoOOAc8I3AAAwli4GEtQ596.png

圖4-2:壓縮結(jié)構(gòu)體存儲(chǔ)為向量

壓縮結(jié)構(gòu)體的所有成員都必須是整數(shù)值。整數(shù)值是可以表示為向量的值,例如byte、int和使用bit或logic類型創(chuàng)建的向量。如果結(jié)構(gòu)體的任何成員不能表示為向量,則該結(jié)構(gòu)體不能被壓縮。這意味著壓縮結(jié)構(gòu)體不能包含實(shí)數(shù)或短實(shí)數(shù)變量、非壓縮結(jié)構(gòu)體、非壓縮聯(lián)合體體或非壓縮的數(shù)組。

引用壓縮結(jié)構(gòu)體和結(jié)構(gòu)體成員。壓縮結(jié)構(gòu)體可以復(fù)制,或分配一個(gè)結(jié)構(gòu)體表達(dá)式值列表,方法與非壓縮結(jié)構(gòu)體相同。壓縮結(jié)構(gòu)體的成員可以通過成員名稱引用,方式與非壓縮結(jié)構(gòu)體相同。

壓縮結(jié)構(gòu)體也可以被視為一個(gè)向量。因此,除了結(jié)構(gòu)體分配,向量值還可以分配給壓縮結(jié)構(gòu)體

pYYBAGPkoTWACMAhAAAULfH5zrg880.png

向量賦值是合法的,因?yàn)橘x值左邊的結(jié)構(gòu)體成員已壓縮在一起,形成一組連續(xù)的位,方式與向量相同。因?yàn)閴嚎s結(jié)構(gòu)體存儲(chǔ)為一組連續(xù)的位,所以對(duì)壓縮結(jié)構(gòu)體執(zhí)行向量操作也是合法的,包括位選擇和部分選擇。以下兩個(gè)賦值都將分配給data_word的tag成員:

poYBAGPkoUGARuODAAA8pB2oEeY215.png

可以在向量上執(zhí)行的數(shù)學(xué)運(yùn)算、邏輯運(yùn)算和任何其他運(yùn)算也可以在壓縮結(jié)構(gòu)體上執(zhí)行。

有符號(hào)壓縮結(jié)構(gòu)體。壓縮結(jié)構(gòu)體可以用signed和unsigned關(guān)鍵字聲明。當(dāng)在運(yùn)算或關(guān)系運(yùn)算中用作向量時(shí),這些修飾符會(huì)影響整個(gè)結(jié)構(gòu)體的識(shí)別方式。它們不會(huì)影響結(jié)構(gòu)體成員的識(shí)別方式。結(jié)構(gòu)體的每個(gè)成員都被視為有符號(hào)或無符號(hào),這取決于該成員的類型聲明。壓縮結(jié)構(gòu)體的部分選擇是無符號(hào)的,與向量的部分選擇相同。

poYBAGPkoUuARlaEAADRzTLi1gw534.png

通過端口傳遞結(jié)構(gòu)體

自定義結(jié)構(gòu)體可以通過模塊和接口的端口傳遞,結(jié)構(gòu)體必須首先使用 typedef 定義為用戶自定義數(shù)據(jù)類型,然后才允許將模塊或接口的端口聲明為結(jié)構(gòu)體類型。

poYBAGPkoVaAWq72AAFVslPrdAc255.png

非壓縮的結(jié)構(gòu)體必須是自定義結(jié)構(gòu)體,才能通過端口傳遞該結(jié)構(gòu)體。與端口的連接必須是與端口類型完全相同的結(jié)構(gòu)體。也就是說,端口和端口兩側(cè)的連接都必須從相同的typedef定義聲明。此限制僅適用于非壓縮結(jié)構(gòu)體。通過模塊端口的壓縮結(jié)構(gòu)體被視為向量。端口的外部連接可以是相同類型的壓縮結(jié)構(gòu)體,也可以是任何類型的向量。

通過將任務(wù)或函數(shù)參數(shù)聲明為結(jié)構(gòu)體類型,自定義結(jié)構(gòu)體也可以作為參數(shù)傳遞給任務(wù)或函數(shù)。

pYYBAGPkoWOAClmQAAFJXhUDDss409.png

當(dāng)調(diào)用一個(gè)任務(wù)或函數(shù)時(shí),如果該任務(wù)或函數(shù)有一個(gè)非壓縮的結(jié)構(gòu)體作為正式參數(shù)菜單,則必須將一個(gè)完全相同類型的結(jié)構(gòu)體傳遞給該任務(wù)或函數(shù)。壓縮結(jié)構(gòu)體形式參數(shù)被視為向量,可以傳遞給任何類型的向量。

傳統(tǒng)的Verilog與結(jié)構(gòu)體

最初的Verilog語言沒有一種方便的機(jī)制來將常見信號(hào)收集到一個(gè)組中。在傳統(tǒng)的Verilog樣式的模型中,工程師必須使用特殊的分組方法,例如命名約定,其中一組中的每個(gè)信號(hào)都以一組公共字符開始或結(jié)束。最初的Verilog語言也無法通過模塊端口或任務(wù)和函數(shù)傳遞信號(hào)集合,每個(gè)信號(hào)都必須通過單獨(dú)的端口或參數(shù)傳遞。

在原始Verilog語言中添加結(jié)構(gòu)體是一種強(qiáng)大的RTL建模構(gòu)造,反之亦然。它提供了一種更簡潔、更直觀、更可重用的復(fù)雜模型功能建模方法。包中定義的自定義結(jié)構(gòu)體可以在多個(gè)模塊中重復(fù)使用,也可以在用于驗(yàn)證RTL模型的驗(yàn)證測試臺(tái)中重復(fù)使用。

綜合指導(dǎo)

非壓縮結(jié)構(gòu)體和壓縮結(jié)構(gòu)體都是可綜合的。綜合工具支持結(jié)構(gòu)體通過模塊端口傳遞 , 也支持作為輸入或輸出傳遞給任務(wù)和函數(shù) , 也支持使用成員名和值的列表對(duì)結(jié)構(gòu)體進(jìn)行賦值。

綜合編譯器可能比壓縮結(jié)構(gòu)體更好地優(yōu)化非壓縮結(jié)構(gòu)體。非壓縮結(jié)構(gòu)體允許軟件工具確定存儲(chǔ)或?qū)崿F(xiàn)每個(gè)結(jié)構(gòu)體成員的最佳方式,而壓縮結(jié)構(gòu)體則決定如何組織每個(gè)成員。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 控制器
    +關(guān)注

    關(guān)注

    112

    文章

    15884

    瀏覽量

    175353
  • 控制信號(hào)
    +關(guān)注

    關(guān)注

    0

    文章

    153

    瀏覽量

    11917
  • 結(jié)構(gòu)體
    +關(guān)注

    關(guān)注

    1

    文章

    127

    瀏覽量

    10800
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    round robin 的 systemverilog 代碼

    大家好,我對(duì)一個(gè) round robin 的 systemverilog 代碼有疑惑。https://www.edaplayground.com/x/2TzD代碼第49和54行是怎么解析呢 ?
    發(fā)表于 03-14 19:16

    漫談C語言結(jié)構(gòu)

    我放在下面?! ≡诖?,我會(huì)圍繞以下2個(gè)問題來分析和應(yīng)用C語言結(jié)構(gòu):  1. C語言中的結(jié)構(gòu)有何作用  
    發(fā)表于 11-15 15:59

    2)打兩拍systemverilog與VHDL編碼 精選資料分享

    2打兩拍systemverilog與VHDL編碼1 本章目錄1)FPGA簡介2SystemVerilog簡介3)VHDL簡介4)打兩拍verilog編碼5)打兩拍VHDL編碼6)結(jié)束
    發(fā)表于 07-26 06:19

    使用結(jié)構(gòu)的主要作用

    2.在我們單片機(jī)程序開發(fā)過程中,經(jīng)常會(huì)遇到要初始化一個(gè)外設(shè)比如串口,它的初始化狀態(tài)是由幾個(gè)屬性來決定的,比如串口號(hào),波特率,極性,以及模式。對(duì)于這種情況,在我們沒有學(xué)習(xí)結(jié)構(gòu)的時(shí)候,我們一般的方法...
    發(fā)表于 08-24 07:54

    結(jié)構(gòu)變量的定義與使用變量訪問結(jié)構(gòu)成員

    知識(shí)點(diǎn)回顧關(guān)于找最大公共子串的兩種解題方法結(jié)構(gòu)的定義(3種)結(jié)構(gòu)變量的定義與使用變量訪問結(jié)構(gòu)
    發(fā)表于 12-17 07:10

    消息隊(duì)列傳遞結(jié)構(gòu)指針和結(jié)構(gòu)異同

    FreeRTOS消息隊(duì)列 傳遞結(jié)構(gòu)指針和結(jié)構(gòu)異同1 消息隊(duì)列傳遞結(jié)構(gòu)指針和
    發(fā)表于 02-11 07:02

    SystemVerilog Assertion Handbo

    SystemVerilog Assertion Handbook1 ROLE OF SYSTEMVERILOG ASSERTIONSIN A VERIFICATION METHODOLOGY
    發(fā)表于 07-22 14:08 ?188次下載

    SystemVerilog的斷言手冊(cè)

    SystemVerilog Assertion Handbook1 ROLE OF SYSTEMVERILOG ASSERTIONSIN A VERIFICATION METHODOLOGY
    發(fā)表于 07-22 14:12 ?20次下載

    基于事件結(jié)構(gòu)SystemVerilog指稱語義

    本文利用形式化的方法對(duì)SystemVerilog的指稱語義進(jìn)行研究,采用EBES(extendedbundle event structure)作為抽象模型,以便更好的描述SystemVerilog真并發(fā)的特點(diǎn)。我們的主要工作是:首先,
    發(fā)表于 12-22 14:01 ?12次下載

    基于SystemVerilog的I2C總線模塊驗(yàn)證

    文中分析了基于Systemverilog驗(yàn)證環(huán)境的結(jié)構(gòu),并在介紹I 2 C總線協(xié)議的基礎(chǔ)上,重點(diǎn)論述了驗(yàn)證環(huán)境中事務(wù)產(chǎn)生器及驅(qū)動(dòng)器的設(shè)計(jì)。
    發(fā)表于 12-22 17:20 ?27次下載
    基于<b class='flag-5'>SystemVerilog</b>的I<b class='flag-5'>2</b>C總線模塊驗(yàn)證

    共用結(jié)構(gòu)的區(qū)別

    的C/C++編程中,我們經(jīng)常要傳送的不是簡單的字節(jié)流,而是多種數(shù)據(jù)組合起來的一個(gè)整體,其表現(xiàn)形式就是一個(gè)結(jié)構(gòu)。 2結(jié)構(gòu)的定義
    發(fā)表于 11-19 17:46 ?3.7w次閱讀

    數(shù)字硬件建模SystemVerilog-結(jié)構(gòu)

    默認(rèn)情況下,結(jié)構(gòu)體會(huì)被非壓縮的。這意味著結(jié)構(gòu)的成員被視為獨(dú)立變量或常量,并以一個(gè)共同的名稱分組在一起。SystemVerilog沒有指定軟件工具應(yīng)該如何存儲(chǔ)非壓縮
    的頭像 發(fā)表于 06-30 09:54 ?912次閱讀

    SystemVerilog中可以嵌套的數(shù)據(jù)結(jié)構(gòu)

    SystemVerilog中除了數(shù)組、隊(duì)列和關(guān)聯(lián)數(shù)組等數(shù)據(jù)結(jié)構(gòu),這些數(shù)據(jù)結(jié)構(gòu)還可以嵌套。
    的頭像 發(fā)表于 11-03 09:59 ?1465次閱讀

    SystemVerilog中至關(guān)重要的結(jié)構(gòu)和自定義類型

    在上一篇文章《SystemVerilog中至關(guān)重要的的數(shù)據(jù)類型》中,介紹了枚舉類型的本質(zhì)和使用語法。本文接著介紹SV中同樣不可忽略的結(jié)構(gòu)(structure)和自定義類型(typedef),最后也
    的頭像 發(fā)表于 01-21 17:18 ?1811次閱讀
    <b class='flag-5'>SystemVerilog</b>中至關(guān)重要的<b class='flag-5'>結(jié)構(gòu)</b><b class='flag-5'>體</b>和自定義類型

    SystemVerilog結(jié)構(gòu)-1

    結(jié)構(gòu)體用于將多個(gè)變量組合在一個(gè)通用名稱下。設(shè)計(jì)通常具有邏輯信號(hào)組,例如總線協(xié)議的控制信號(hào),或狀態(tài)控制器內(nèi)使用的信號(hào)。結(jié)構(gòu)提供了將這些相關(guān)變量捆綁在一起的方法。結(jié)構(gòu)
    的頭像 發(fā)表于 02-09 15:26 ?708次閱讀
    <b class='flag-5'>SystemVerilog</b>的<b class='flag-5'>結(jié)構(gòu)</b><b class='flag-5'>體</b>-1