0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

驅(qū)動(dòng)芯片在應(yīng)用中的常見問題分析與解決

suanjunihao ? 來源:suanjunihao ? 作者:suanjunihao ? 2023-02-19 23:18 ? 次閱讀

驅(qū)動(dòng)芯片在應(yīng)用中的常見問題分析與解決

通信電源PSU在通訊設(shè)備中擔(dān)任著很重要的角色,PSU問題將會(huì)導(dǎo)致整個(gè)通訊設(shè)備無法正常運(yùn)作。常見的通信電源PSU拓?fù)溆袠蚴?、推挽以及正在興起的非隔離IBB架構(gòu)。所有這些應(yīng)用場(chǎng)景都離不開驅(qū)動(dòng)芯片。在驅(qū)動(dòng)芯片的應(yīng)用過程中,常見的兩類問題是異常丟波現(xiàn)象以及輸出通道的誤脈沖,他們會(huì)隨著芯片、系統(tǒng)設(shè)計(jì)上的差異而時(shí)常出現(xiàn)在我們的應(yīng)用中,嚴(yán)重情況會(huì)導(dǎo)致MOS管甚至整個(gè)產(chǎn)品的損壞。本文主要從應(yīng)用角度分析了問題的產(chǎn)生原因以及相應(yīng)的設(shè)計(jì)解決方案,確保在設(shè)計(jì)過程中不會(huì)引入可能的風(fēng)險(xiǎn)。

1. 驅(qū)動(dòng)芯片在 PSU電源系統(tǒng)中的應(yīng)用簡介

在通訊設(shè)備中,系統(tǒng)的供電來源是由前級(jí)的PSU供電單元提供的。常見的通信PSU輸出分為12V和48V,12V用于給板上數(shù)字部分供電,48V多用于給功放模塊供電。通信PSU常用拓?fù)浼軜?gòu)有推挽、半橋、全橋,不同的拓?fù)浼軜?gòu)通常根據(jù)不同的應(yīng)用場(chǎng)景以及供電需求決定。在通信電源的PA供電部分,IBB(inverting buck-boost)拓?fù)鋺{借其不需要隔離,小體積,低成本的優(yōu)勢(shì)越來越多的成為在48V PA供電場(chǎng)景的選擇。TI的雙通道隔離驅(qū)動(dòng)器如 系列,其兩個(gè)通道的偏壓供電可以根據(jù)需求靈活配置,芯片內(nèi)部抗干擾能力強(qiáng),可以廣泛應(yīng)用于驅(qū)動(dòng)各類拓?fù)浼軜?gòu)中的MOS管。

poYBAGPyPb2AAbJQAAEfk_lfKyI240.png

pYYBAGPyPb6AMMlEAABRadjrGzo465.png

Figure 1. 通信電源PSU的常見應(yīng)用

2. 驅(qū)動(dòng)芯片異常丟波問題

通常隔離驅(qū)動(dòng)芯片用于驅(qū)動(dòng)橋式拓?fù)渲械纳舷聵虮?,或在管?/p>

poYBAGPyPb6ANLuZAABCujhmwgI654.png

Figure 2. IBB典型應(yīng)用拓?fù)?/p>

下面兩張圖分別是實(shí)際應(yīng)用中遇到的以及在EVM板上復(fù)現(xiàn)的發(fā)波異常現(xiàn)象。其中CH1為輸入側(cè)的驅(qū)動(dòng)波形,CH2為相應(yīng)通道的驅(qū)動(dòng)輸出波形(VGS)。可以看到在兩個(gè)案例中驅(qū)動(dòng)的輸出都出現(xiàn)了異常的輸入信號(hào)存在但輸出信號(hào)異常丟失的現(xiàn)象。該異常發(fā)波會(huì)導(dǎo)致PSU不正常工作,如果不及時(shí)斷開負(fù)載,還會(huì)造成MOS管的損壞。

pYYBAGPyPb-AfZRlAALcXskX7TA507.png

Figure 3. 實(shí)際應(yīng)用中遇到的丟波現(xiàn)象

poYBAGPyPcCANkh7AAGHQO6EWIs931.png

Figure 4. 在EVM板上通過特定條件復(fù)現(xiàn)的丟波現(xiàn)象

其中實(shí)際異常觸發(fā)條件:48V/1000W系統(tǒng),IBB拓?fù)洌_關(guān)頻率250kHz,驅(qū)動(dòng)器每個(gè)通道驅(qū)動(dòng)5個(gè)并聯(lián)MOS管,柵極串阻2.2ohm。EVM板復(fù)現(xiàn)條件:14V/100A負(fù)載,通過反復(fù)接入斷開負(fù)載可以復(fù)現(xiàn)問題。

2.1 針對(duì)異常丟波問題的原理分析

通過對(duì)信號(hào)進(jìn)行分析,以上兩種情景的一個(gè)共同條件是供電軌VDD都受到了不同程度的干擾,下圖是實(shí)際問題的展開波形,可以看到CH4為VDD,在問題發(fā)生時(shí)接受到了比較大的干擾信號(hào)。

pYYBAGPyPcGAQZQ0AAKMMzLMR7M602.png

Figure 5. 異常丟波問題的展開波形

基于下面典型的驅(qū)動(dòng)框圖分析干擾的來源,如果在驅(qū)動(dòng)的輸出端(OUT)有較大信號(hào)波動(dòng)或是噪聲(該擾動(dòng)可以是負(fù)載變化導(dǎo)致,也可能是開關(guān)引入的噪聲),通過芯片內(nèi)部上管的體二極管傳播至VDD引腳。由于擾動(dòng)是通過內(nèi)部電路及寄生參數(shù)產(chǎn)生和傳遞,無法通過外部濾波電路濾除,所以即使調(diào)整外部濾波電容值,也沒法很好的改善該異?,F(xiàn)象。VDD引腳上的干擾信號(hào),進(jìn)一步又會(huì)通過內(nèi)部供電LDO的體二極管擾亂內(nèi)部供電模塊輸出電壓,或是干擾內(nèi)部供電模塊的邏輯電路,最終使內(nèi)部電壓跌落至芯片的UVLO,將特定通道的輸出關(guān)斷。在內(nèi)部電壓迅速恢復(fù)至UVLO以上后,芯片會(huì)延時(shí)大約50us后,恢復(fù)OUT引腳的正常輸出。同理如果在VSS引腳上有大的干擾時(shí),也會(huì)對(duì)內(nèi)部電路造成類似影響。

poYBAGPyPcGAXQSyAABVhCdzvpI695.png

Figure 6. 典型驅(qū)動(dòng)芯片輸出部分電路結(jié)構(gòu)

2.2 如何從系統(tǒng)設(shè)計(jì)上優(yōu)化異常丟波問題

要防止丟波問題的出現(xiàn),需要保證VDD信號(hào)的穩(wěn)定,減小電源噪聲并減小耦合噪聲的干擾,可從以下幾個(gè)方面進(jìn)行:1. 增大RG或增加串聯(lián)磁珠;3.多管并聯(lián)系統(tǒng)中注意系統(tǒng)設(shè)計(jì)。

解決干擾問題一個(gè)簡單有效的方式就是增大RG,柵極串聯(lián)電阻RG會(huì)影響柵極電路上的震蕩以及耦合到芯片內(nèi)部的干擾信號(hào)大小。下圖是常見應(yīng)用中的輸出部分的等效模型,輸入電容(圖中Cgd+Cgs)和源級(jí)電感Ls(圖中L4)之間會(huì)產(chǎn)生較大的諧振,增加合適的RG則有利于抑制震蕩,同時(shí)使開關(guān)速度設(shè)定在合理的范圍,保證系統(tǒng)高效工作。

pYYBAGPyPcKAf6BfAAB2Y69M8xE315.png

Figure 7. 驅(qū)動(dòng)電路簡化等效模型

為了初步評(píng)估系統(tǒng)中存在的寄生電感Ls,我們?cè)诓唤覴G條件下測(cè)量震蕩波形。由LC諧振公式:

poYBAGPyPcKAF-O8AAAEc-aWQs4581.png

,通過觀察振鈴的震蕩頻率可以得到:

pYYBAGPyPcOALjxNAAAIUUt9DdA244.png

。在選擇RG上令系統(tǒng)處于臨界阻尼到欠阻尼狀態(tài)即可:

poYBAGPyPcOAYupxAAAIWEwN1Lg196.png

(另外需要再減去驅(qū)動(dòng)和MOS管部分的電阻值)。實(shí)際測(cè)試過程中,可以從Q=1/2開始測(cè)試所選電阻值以及觀察MOS管的開關(guān)速度以及震蕩情況是否能夠滿足系統(tǒng)的設(shè)計(jì)需求,如果開關(guān)速度不夠可以適當(dāng)減小RG或是選擇驅(qū)動(dòng)電流能力更強(qiáng)的驅(qū)動(dòng)器,如果震蕩情況影響系統(tǒng)性能,則適當(dāng)增加RG。下面是在問題系統(tǒng)中,適當(dāng)增加RG后的測(cè)試結(jié)果,可以看到RG增大后,問題得到顯著改善。

pYYBAGPyPcWAQasAAAPoiJvgoWg704.png

Figure 8. 實(shí)際應(yīng)用電路中柵極電阻設(shè)置為2ohm的問題波形

poYBAGPyPcaAEW-2AAPpNdrwsf4127.png

Figure 9. 實(shí)際應(yīng)用電路中柵極電阻增大為75ohm問題消失

對(duì)于實(shí)際應(yīng)用系統(tǒng),增大RG會(huì)帶來系統(tǒng)的損耗以及開關(guān)速度的變慢,往往對(duì)于特定設(shè)計(jì)系統(tǒng),RG期望的阻值會(huì)小于10ohm,因此該方案有很多限制。除了增大RG,另一個(gè)行之有效的方案是在柵極串入磁珠,相較于電阻的方案磁珠在低頻條件下阻值更低,在不影響驅(qū)動(dòng)電流,不改變開關(guān)表現(xiàn)前提下又能夠很好的抑制高頻下的耦合噪聲。

pYYBAGPyPceAASjQAAFg0vBan6k730.png

poYBAGPyPceABvOmAACke9OQ9BA681.png

Figure 10. (a) 磁珠阻抗與頻率的關(guān)系 (b) RG與磁珠在電路中的作用原理

在多管并聯(lián)的布板設(shè)計(jì)中,應(yīng)該盡可能保證每一個(gè)MOS管的柵極電阻都靠近各自的MOS管,而不是將各電阻集中并聯(lián),參考下圖所示。左圖所示布板方案可能會(huì)導(dǎo)致并聯(lián)MOS管線路之間發(fā)生均流震蕩,進(jìn)而導(dǎo)致系統(tǒng)不穩(wěn)定。

pYYBAGPyPcmAfdjGAAKdnVaAKTo630.png

Figure 11. (a) 發(fā)生丟波問題的電路設(shè)計(jì) (b) 優(yōu)化后正常發(fā)波的電路設(shè)計(jì)

3. 驅(qū)動(dòng)芯片輸出引腳誤脈沖現(xiàn)象

驅(qū)動(dòng)芯片在應(yīng)用過程中另一個(gè)常見的問題是,在沒有輸入信號(hào)的情況下,對(duì)應(yīng)的輸出通道出現(xiàn)異常脈沖的現(xiàn)象。該誤脈沖可能會(huì)超過MOS管的開通閾值,造成不期望的MOS管誤開通以及很嚴(yán)重的系統(tǒng)直通情況。下圖是實(shí)際應(yīng)用中遇到的誤脈沖現(xiàn)象,CH2是驅(qū)動(dòng)芯片LO,CH1是HO,起機(jī)過程中,輸入信號(hào)控制LO進(jìn)行開關(guān)動(dòng)作,而在HO對(duì)應(yīng)輸入為低電平。理論上HO應(yīng)該與輸入信號(hào)一致為低,但從實(shí)驗(yàn)結(jié)果可以發(fā)現(xiàn),在HO引腳看到了一定幅值的脈沖信號(hào)。

poYBAGPyPcuAMs5kAAVPT6aEb1I520.png

Figure 12. 起機(jī)過程中出現(xiàn)的誤脈沖現(xiàn)象

起機(jī)過程中的誤脈沖信號(hào),會(huì)給PSU系統(tǒng)帶來可靠性風(fēng)險(xiǎn),嚴(yán)重情況下可能出現(xiàn)直通現(xiàn)象,損壞供電系統(tǒng)。

3.1 誤脈沖現(xiàn)象成因分析

下面為驅(qū)動(dòng)芯片典型的內(nèi)部框圖,在VDD-VSS之間的電壓建立至內(nèi)部UVLO閾值之前,芯片內(nèi)部的Rclamp會(huì)起作用,將OUT下拉到地。在VDD-VSS之間電壓上升至UVLO閾值以上后,芯片的輸出完全由輸出通道內(nèi)部的上下管開關(guān)來決定:輸入信號(hào)為高時(shí)輸出上拉至VDD,輸入信號(hào)為低時(shí)輸出下拉到地,以此保證輸出邏輯正確。通常誤脈沖現(xiàn)象出現(xiàn)在VDD-VSS之間電壓建立至UVLO之前。

pYYBAGPyPcuAAxeGAABlyTvwFd8857.png

Figure 13. 驅(qū)動(dòng)芯片內(nèi)部下拉電路典型框圖

在VDD-VSS低于UVLO期間,芯片內(nèi)部會(huì)產(chǎn)生相應(yīng)的驅(qū)動(dòng)信號(hào),來開通Rclamp電路(為MOS管結(jié)構(gòu),Rclamp實(shí)際為MOS管導(dǎo)通電阻)。該驅(qū)動(dòng)信號(hào)的產(chǎn)生會(huì)在VDD-VSS建立電壓后,經(jīng)過一定延時(shí)(大約幾十微秒,隨芯片不同而不同)產(chǎn)生,同時(shí)信號(hào)的產(chǎn)生到下拉電路的完全開通是需要一定的時(shí)間的,這兩個(gè)因素導(dǎo)致了,當(dāng)VDD-VSS之間電壓上升過快時(shí),內(nèi)部驅(qū)動(dòng)信號(hào)來不及將下拉電路打開,從而在起機(jī)期間芯片無法很好的實(shí)現(xiàn)下拉功能。這種情況下當(dāng)輸出引腳有耦合噪聲的存在時(shí),我們會(huì)在柵極路徑上看到誤脈沖。

3.2 誤脈沖的預(yù)防與解決方案

因?yàn)檎`脈沖問題是由于內(nèi)部下拉電路反應(yīng)時(shí)間的差異化所導(dǎo)致,優(yōu)化思路可以是將VDD-VSS建立過程放緩,或是在系統(tǒng)設(shè)計(jì)時(shí)注意給VDD-VSS提供一個(gè)預(yù)充電壓,一個(gè)可靠的方案則是在電路中增加下拉電路,確保MOS管不會(huì)因?yàn)楦蓴_誤觸發(fā)。

3.2.1 調(diào)整BOOT電壓建立速度

VDD-VSS的電壓建立過程是通過RBOOT,二極管,以及下管的導(dǎo)通狀態(tài)給CBOOT電容充電來實(shí)現(xiàn)的。針對(duì)RBOOT和CBOOT進(jìn)行數(shù)值調(diào)整,可以將電容充電到穩(wěn)定的時(shí)間進(jìn)行延長或縮短。下圖分別展示了不同的RC配置下的VDD-VSS電壓建立過程。

poYBAGPyPc2AftHuAAHAZoZEreQ837.png

Figure 14. RBOOT=0hom CBOOT=10uF 設(shè)置下的起機(jī)BOOT波形

pYYBAGPyPc6AEjPdAAIHO-bLFrM594.png

Figure 15. RBOOT=5hom CBOOT=10uF 設(shè)置下的起機(jī)BOOT波形

CH1為VDD-VSS電壓建立波形,CH4為充電電流波形??梢钥吹?,適當(dāng)?shù)腞C取值,不僅能夠減小VDD-VSS間的電流尖峰,還有助于將電壓建立的斜率變緩,使內(nèi)部下拉信號(hào)有充足的時(shí)間來響應(yīng)。 買電子元器件現(xiàn)貨上唯樣商城

由于市面上驅(qū)動(dòng)芯片設(shè)計(jì)和針對(duì)應(yīng)用場(chǎng)景不同,有的二極管集成在芯片內(nèi)部,所以需要使用者在具體應(yīng)用過程中,根據(jù)需要和所用芯片來調(diào)整RC取值,下面是一些RC選擇上的建議:

● 電阻取值一般選擇在1~20ohm,且需要滿足

poYBAGPyPc6ACEGdAAAIGelKAro243.png

,其中Ipeak為所選擇二極管的最大電流,V(diode)為二極管上的壓降。

● 有時(shí)二極管部分集成在驅(qū)動(dòng)芯片內(nèi)部,此時(shí)可以根據(jù)具體情況調(diào)節(jié)CBOOT,CBOOT需要能夠保證VDD-VSS上的電壓能夠在UVLO之上:

-因此要求BOOT電容上能承受最大電壓為:

pYYBAGPyPc6AOC45AAAJv2bWMKk850.png

-開關(guān)周期內(nèi)需要提供的電荷量:

poYBAGPyPc-AKn9zAAAIWEwN1Lg081.png

-可以計(jì)算出BOOT電容的最小值為:

pYYBAGPyPc-AMEV_AAAHl5u7nmU351.png

其中:

VDD為驅(qū)動(dòng)供電電壓;

V(diode)為二極管正向?qū)▔航担?/p>

VHBL為VDD-VSS下降沿UVLO,即考慮回差后的UVLO值;

QG是驅(qū)動(dòng)MOS管的Q值之合;

IHBS是VDD-VSS間漏電流;

IHB是VDD靜態(tài)電流

需要注意的是二極管的反向恢復(fù)應(yīng)力,如上述實(shí)驗(yàn)中的RC組合中開關(guān)頻率約為100kHz,占空比為40%,下管的導(dǎo)通時(shí)間大約為6us,則需注意的是在6us下管關(guān)閉時(shí),二極管上的反向恢復(fù)應(yīng)力能夠滿足芯片或是選擇二極管的指標(biāo)要求,具體可以參考各芯片數(shù)據(jù)手冊(cè)或者向供應(yīng)商咨詢。

3.2.2 為VDD-VSS建立預(yù)充電壓

另一個(gè)解決誤脈沖問題的方案是給VDD-VSS提供一個(gè)預(yù)充電壓,這樣能讓內(nèi)部下拉電路的觸發(fā)信號(hào)能夠提前響應(yīng)。一個(gè)簡便的方式是在上下管VSS之間直接入預(yù)充電阻,這樣在上電后,VDD會(huì)通過RBOOT,二極管,預(yù)充電阻來給CBOOT進(jìn)行充電,在下管發(fā)波之前,就能讓內(nèi)部下拉電路提前響應(yīng),確保VDSS-VSS超過UVLO之前,上管不會(huì)出現(xiàn)誤脈沖問題。

poYBAGPyPdCAVGWsAADlZ0EDXG4619.png

Figure 16. 為設(shè)計(jì)增加預(yù)充電壓回路

在加入預(yù)充電阻后,系統(tǒng)起機(jī)過程中的測(cè)試波形如下所示,通道之間不再有干擾導(dǎo)致的誤脈沖。需要考慮的是系統(tǒng)上電到發(fā)波之間的預(yù)留時(shí)間treserve決定了Rpre-charge以及CBOOT的取值。具體參考公式:

pYYBAGPyPdCAVdOBAAAI9x3WNFg572.png

poYBAGPyPdGATSyAAAFXKA6yQTA048.png

Figure 17. 加入VBOOT預(yù)建立電路后的發(fā)波波形

3.2.3 為柵極增加外部下拉電路

如果系統(tǒng)設(shè)計(jì)允許,一個(gè)保險(xiǎn)的方式是在電路中加入下拉電路(下圖中BJT管Q4),當(dāng)柵極電壓受到干擾出現(xiàn)較大波動(dòng)時(shí),BJT電路會(huì)接通,將柵極強(qiáng)行下拉到地防止MOS管的誤開通。

pYYBAGPyPdGAFhnuAABkcHYEQ_k249.png

Figure 18. 外部增加?xùn)艠O下拉電路

下圖為加入外部下路電路后的柵極電壓仿真波形。虛線代表之前系統(tǒng)可能存在的柵極干擾,實(shí)線代表加入下拉電路后柵極電壓波形,可以發(fā)現(xiàn)下拉電路將柵極干擾電壓幅值以及電壓回落所需時(shí)間都大大縮小,防止了可能出現(xiàn)的MOS管誤觸發(fā)風(fēng)險(xiǎn)。

poYBAGPyPdKAKEKwAAB53BdeHW8030.png

Figure 19. 下拉電路對(duì)柵極電壓擾動(dòng)改善的仿真結(jié)果

4. 總結(jié)

文章總結(jié)了在使用驅(qū)動(dòng)器過程中常見的兩種應(yīng)用問題:異常丟波問題與輸出通道誤脈沖問題,針對(duì)丟波問題,文章給出了柵極電阻設(shè)計(jì)與布板建議;針對(duì)輸出通道誤脈沖問題,本文提出了調(diào)整BOOT電壓建立時(shí)間、建立預(yù)充電壓、添加外部下拉電路等方案,并給出了優(yōu)化后的測(cè)試結(jié)果。當(dāng)然在驅(qū)動(dòng)器的應(yīng)用中,還有其他常見問題如誤發(fā)波或是輸出信號(hào)受到干擾等一些特殊情況,由于更多的和芯片的設(shè)計(jì)或是抗擾能力相關(guān),這里就沒有歸納總結(jié)。在選擇驅(qū)動(dòng)芯片時(shí),使用者應(yīng)該更多關(guān)注除了基本的指標(biāo)參數(shù)之外應(yīng)用層面的設(shè)計(jì)注意事項(xiàng)。TI的驅(qū)動(dòng)芯片在上述問題中的表現(xiàn)處于業(yè)界先進(jìn)地位,優(yōu)秀的芯片設(shè)計(jì)以及可靠性,能夠大大降低在應(yīng)用中的風(fēng)險(xiǎn)以及設(shè)計(jì)難度。

5. 參考文獻(xiàn)

1. UCC27282 datasheet (ZHCSJ21B)

2. UCC21225A datasheet (SLUSCV6A)

3. The Use and Benefits of Ferrite Beads in Gate Drive Circuits (SLUAAI2)

來源:TI

作者:Dane Zhang

審核編輯黃宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 電源
    +關(guān)注

    關(guān)注

    184

    文章

    17255

    瀏覽量

    247962
  • 驅(qū)動(dòng)器
    +關(guān)注

    關(guān)注

    51

    文章

    8017

    瀏覽量

    145119
  • 驅(qū)動(dòng)芯片
    +關(guān)注

    關(guān)注

    13

    文章

    1229

    瀏覽量

    54176
  • PSU
    PSU
    +關(guān)注

    關(guān)注

    0

    文章

    41

    瀏覽量

    11821
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    HSHA驅(qū)動(dòng)器報(bào)警的常見問題及解決方法

    HSHA驅(qū)動(dòng)器報(bào)警的常見問題及解決方法可以歸納如下: 常見問題 電機(jī)過載 : 原因 :電機(jī)長時(shí)間超負(fù)荷運(yùn)行或短時(shí)間負(fù)載過重。 表現(xiàn) :驅(qū)動(dòng)器可能顯示Err 03等錯(cuò)誤代碼。 伺服
    的頭像 發(fā)表于 09-19 09:20 ?202次閱讀

    驅(qū)動(dòng)芯片在應(yīng)用常見問題分析與解決

    電子發(fā)燒友網(wǎng)站提供《驅(qū)動(dòng)芯片在應(yīng)用常見問題分析與解決.pdf》資料免費(fèi)下載
    發(fā)表于 09-10 10:48 ?0次下載
    <b class='flag-5'>驅(qū)動(dòng)</b><b class='flag-5'>芯片在</b>應(yīng)用<b class='flag-5'>中</b>的<b class='flag-5'>常見問題</b><b class='flag-5'>分析</b>與解決

    推挽驅(qū)動(dòng)芯片有哪些

    推挽驅(qū)動(dòng)芯片是一類能夠控制電路向兩個(gè)方向工作的芯片,它們通過改變輸出引腳的電平來控制負(fù)載電流的正向或反向流動(dòng)。這類芯片在電力控制、音頻功放、電源管理等領(lǐng)域有著廣泛的應(yīng)用。以下是對(duì)推挽
    的頭像 發(fā)表于 08-23 14:46 ?402次閱讀

    熱釋電傳感器基本知識(shí)和使用常見問題

    電子發(fā)燒友網(wǎng)站提供《熱釋電傳感器基本知識(shí)和使用常見問題》資料免費(fèi)下載
    發(fā)表于 06-11 09:06 ?1次下載

    PCB設(shè)計(jì)常見問題有哪些?

    一站式PCBA智造廠家今天為大家講講PCB設(shè)計(jì)常見問題有哪些?PCB設(shè)計(jì)布局時(shí)容易出現(xiàn)的五大常見問題。在電子產(chǎn)品的開發(fā)過程,PCB(Printed Circuit Board,印
    的頭像 發(fā)表于 05-23 09:13 ?596次閱讀
    PCB設(shè)計(jì)<b class='flag-5'>中</b>的<b class='flag-5'>常見問題</b>有哪些?

    Ubuntu系統(tǒng)常見問題及解答

    今天小編為大家總結(jié)了Ubuntu系統(tǒng)常見問題的解決方法,便于收藏和查閱,大家快快碼住哦~
    的頭像 發(fā)表于 04-19 12:29 ?783次閱讀
    Ubuntu系統(tǒng)<b class='flag-5'>常見問題</b>及解答

    underfill工藝常見問題及解決方案

    芯片封裝不同材料之間熱膨脹系數(shù)不匹配帶來的應(yīng)力集中問題,提高器件封裝可靠性方面起著重要作用。Underfill工藝在實(shí)際應(yīng)用可能會(huì)遇到一些常見問題,主要包括:空
    的頭像 發(fā)表于 04-09 15:45 ?547次閱讀
    underfill工藝<b class='flag-5'>常見問題</b>及解決方案

    如何解決同步時(shí)鐘系統(tǒng)常見問題和故障?

    同步時(shí)鐘系統(tǒng) 在電力、通信、交通等領(lǐng)域中應(yīng)用廣泛,為保證其正常運(yùn)行,需要進(jìn)行系統(tǒng)的維護(hù)和保養(yǎng)。下面是述泰時(shí)鐘總結(jié)的時(shí)鐘同步系統(tǒng)維護(hù)常見問題及解決方法的介紹。 常見問題 GPS接收天線故障 GPS接收
    的頭像 發(fā)表于 03-19 10:42 ?1003次閱讀
    如何解決同步時(shí)鐘系統(tǒng)<b class='flag-5'>中</b>的<b class='flag-5'>常見問題</b>和故障?

    步進(jìn)電機(jī)常見問題及維護(hù)

    ? ? ? 步進(jìn)電機(jī)是一種將電脈沖信號(hào)轉(zhuǎn)換為角位移或線位移的開環(huán)控制元件,廣泛應(yīng)用于各種自動(dòng)化設(shè)備和系統(tǒng)。然而,在使用過程,步進(jìn)電機(jī)也會(huì)遇到一些常見問題,需要進(jìn)行適當(dāng)?shù)木S護(hù)。本文將介紹步進(jìn)電機(jī)
    的頭像 發(fā)表于 03-17 08:36 ?604次閱讀
    步進(jìn)電機(jī)<b class='flag-5'>常見問題</b>及維護(hù)

    觸摸芯片DL102K應(yīng)用常見問題合集

    觸摸芯片DL102K應(yīng)用常見問題合集,回答了追問頻率比較高的9個(gè)問題。
    的頭像 發(fā)表于 12-26 09:10 ?492次閱讀
    觸摸<b class='flag-5'>芯片</b>DL102K應(yīng)用<b class='flag-5'>常見問題</b>合集

    光耦失效的幾種常見問題解析

    光耦失效的幾種常見問題解析? 光耦失效是一個(gè)常見的問題,特別是在電子設(shè)備中經(jīng)常使用光耦進(jìn)行隔離和信號(hào)傳輸?shù)那闆r下。下面將詳細(xì)介紹一些光耦失效的常見問題以及解析。 1. 輸出信號(hào)弱或無輸出 有時(shí),光耦
    的頭像 發(fā)表于 12-25 14:30 ?4968次閱讀

    伺服線束生產(chǎn)加工過程常見問題

    在上一期的《伺服線束常見問題總結(jié)干貨》一文,我們?cè)敿?xì)闡述了關(guān)于規(guī)格選型、工況環(huán)境、現(xiàn)場(chǎng)布線、生產(chǎn)加工和材料等五個(gè)方面的常見問題。本期,我們將針對(duì)伺服線束異常問題,按照異常發(fā)生的時(shí)間節(jié)點(diǎn),從首次上機(jī)、間隙不良、停機(jī)故障以及其他方
    的頭像 發(fā)表于 12-05 10:57 ?1311次閱讀

    CLOCK常見問題解答

    電子發(fā)燒友網(wǎng)站提供《CLOCK常見問題解答.pdf》資料免費(fèi)下載
    發(fā)表于 11-23 10:23 ?0次下載
    CLOCK<b class='flag-5'>常見問題</b>解答

    C語言的常見問題

    電子發(fā)燒友網(wǎng)站提供《C語言的常見問題集.pdf》資料免費(fèi)下載
    發(fā)表于 11-18 10:29 ?0次下載
    C語言的<b class='flag-5'>常見問題</b>集

    AD7706芯片在單片機(jī)測(cè)控系統(tǒng)的應(yīng)用

    電子發(fā)燒友網(wǎng)站提供《AD7706芯片在單片機(jī)測(cè)控系統(tǒng)的應(yīng)用.pdf》資料免費(fèi)下載
    發(fā)表于 10-12 09:50 ?0次下載
    AD7706<b class='flag-5'>芯片在</b>單片機(jī)測(cè)控系統(tǒng)<b class='flag-5'>中</b>的應(yīng)用