0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Xilinx FPGA Vivado開發(fā)流程介紹

FPGA技術(shù)江湖 ? 來源:FPGA技術(shù)江湖 ? 2023-02-21 09:16 ? 次閱讀

系統(tǒng)性的掌握技術(shù)開發(fā)以及相關(guān)要求,對個人就業(yè)以及職業(yè)發(fā)展都有著潛在的幫助,希望對大家有所幫助。本次帶來Vivado系列,Vivado開發(fā)軟件開發(fā)設(shè)計流程。話不多說,上貨。

Xilinx FPGAVivado 開發(fā)流程

作者:李西銳校對:陸輝

在做任何設(shè)計之前,我們都少不了一個工作,那就是新建工程,我們設(shè)計的一些操作,必須在工程下完成,那么接下來就向大家介紹一下新建工程的步驟。那么我以簡單的二輸入與門為例向大家介紹一下操作步驟。

在后續(xù)的開發(fā)設(shè)計中,我們會做各種各樣的設(shè)計。每個設(shè)計都有自己的名字,在上述文件內(nèi),用實(shí)驗(yàn)的名字命名一個文件夾。名字的話一定要帶有某種含義,不建議隨便給個字母序列當(dāng)做名字。例如:第一個要做的二輸入與門的設(shè)計,命名為and_gate2_1。

做好設(shè)計前準(zhǔn)備后,我們開始新建工程。

* 新建工程

雙擊打開Vivado。

87549ed8-b175-11ed-bfe3-dac502259ad0.png

在Quick Start中,我們選擇Creat Project。打開新建工程向?qū)В缦拢?/p>

87966052-b175-11ed-bfe3-dac502259ad0.png

此界面為新建工程引導(dǎo),此步驟沒有可以設(shè)置的內(nèi)容,所以直接點(diǎn)擊NEXT。

87bf8ed2-b175-11ed-bfe3-dac502259ad0.png

在此界面,我們第一步,需要給我們的工程起一個名字,在此我們起名為and_gate2_1。在第二步設(shè)置工程存放路徑,要求無中文路徑。第三步,如果你指定路徑位置沒有對應(yīng)的and_gate2_1文件夾,那么我們就可以勾選上,軟件會自動幫我們新建。由于我已經(jīng)新建過了文件夾,所以此處我沒有勾選此選項(xiàng)。設(shè)置好之后,點(diǎn)擊NEXT。

87f04220-b175-11ed-bfe3-dac502259ad0.png

此界面我們設(shè)置工程類型,選擇RTL Project。在這個選項(xiàng)下面,還有另外的一個選項(xiàng)。這個是讓我們選擇是否創(chuàng)建源文件。圖示中選擇不新建,在建完工程之后我們在新建源文件。繼續(xù)點(diǎn)擊NEXT。

8819d45a-b175-11ed-bfe3-dac502259ad0.png

在這個步驟里面,我們選擇對應(yīng)開發(fā)板的芯片型號。我以SANXIN-B04為例,芯片型號為XC7A35TFGG484-2??梢栽谒阉骺蚶镏苯虞斎?,在下面的選項(xiàng)里面我們選擇第一個,如圖所示。選中之后點(diǎn)擊NEXT。

883b65de-b175-11ed-bfe3-dac502259ad0.png

最后一步,為工程的信息展示。確認(rèn)信息無誤后,點(diǎn)擊Finish。至此,我們的工程就新建完成了。

88621742-b175-11ed-bfe3-dac502259ad0.png

我們把整個界面分為5個區(qū)域,如上圖所示。

1、菜單;

軟件的常用功能全在此區(qū)域,方便我們進(jìn)行后續(xù)操作。每一項(xiàng)的作用,會在后續(xù)的講解中為大家介紹。

2、源文件;

新建文件可以在此區(qū)域,在這個窗口下面,有三個選項(xiàng),我們在第一個選項(xiàng)中可以看到代碼文件的層次結(jié)構(gòu)。

3、屬性;

窗口會顯示所選內(nèi)容的具體信息

4、信息;

展示任務(wù)進(jìn)度,編譯信息等內(nèi)容。

5、報告;

展示工程信息以及狀態(tài)。此外編寫代碼也是在此區(qū)域。

通過以上的介紹,我們明白了各個區(qū)域的作用,接下來我們就新建文件開始編寫代碼:

8899d2fe-b175-11ed-bfe3-dac502259ad0.png

在源文件窗口,點(diǎn)擊+號。如下圖:

88b4cb90-b175-11ed-bfe3-dac502259ad0.png

三個選項(xiàng),第一個是添加或者新建管腳約束文件,第二個是添加或者新建源文件,第三個是添加或者新建仿真文件。那么我們選擇第二個選項(xiàng)。

88e3e7ea-b175-11ed-bfe3-dac502259ad0.png

選擇好之后,點(diǎn)擊NEXT。然后按照上圖中所示,點(diǎn)擊Creat File;

890d592c-b175-11ed-bfe3-dac502259ad0.png

在File name一項(xiàng)中,填入文件名,其他保持默認(rèn),然后點(diǎn)擊OK,再點(diǎn)擊Finish。

8936d3ce-b175-11ed-bfe3-dac502259ad0.png

此界面我們可以提前填入端口,選擇好端口方向以及位寬。然后軟件就可以幫我們寫好代碼的端口定義部分。如果不需要可以直接點(diǎn)擊OK。

895a90ac-b175-11ed-bfe3-dac502259ad0.png

再點(diǎn)擊YES。

新建好之后,會出現(xiàn)如下圖:

8977aee4-b175-11ed-bfe3-dac502259ad0.png

在Design Sources中,可以看到我們新建的文件。雙擊打開開始寫代碼。自動生成的代碼如下:

898f9e0a-b175-11ed-bfe3-dac502259ad0.png

為了更好的向大家展示,我將代碼文件中的注釋先刪除掉。代碼如下:

89b1fcca-b175-11ed-bfe3-dac502259ad0.png

為了驗(yàn)證代碼的正確性,還需要寫測試文件進(jìn)行仿真測試。仍然點(diǎn)擊+號新建文件,只不過這次我們選擇第三個選項(xiàng):

89c81b36-b175-11ed-bfe3-dac502259ad0.png

點(diǎn)擊NEXT;選擇Creat File:

89f6b8a6-b175-11ed-bfe3-dac502259ad0.png

8a1dfa60-b175-11ed-bfe3-dac502259ad0.png

點(diǎn)擊OK,點(diǎn)擊Finish。定義端口界面直接跳過,因?yàn)槲覀兊姆抡嫖募]有端口。

8a3ab11e-b175-11ed-bfe3-dac502259ad0.png

新建好之后,按照上圖所示,雙擊打開文件。代碼如下:

8a5d85b8-b175-11ed-bfe3-dac502259ad0.png

我們可以使用隨機(jī)數(shù)來產(chǎn)生我們需要的輸入,代碼寫完之后,我們可以直接打開仿真。

8a749f28-b175-11ed-bfe3-dac502259ad0.png

點(diǎn)擊如圖所示的選項(xiàng),打開軟件的仿真器。

8aad654c-b175-11ed-bfe3-dac502259ad0.png

8ac71ae6-b175-11ed-bfe3-dac502259ad0.png

仿真圖打開后,我們點(diǎn)擊如圖所示的按鈕,可以看到全部波形。在仿真圖中,我們可以看到后半部分波形沒有變化,這是因?yàn)槲覀冸S機(jī)數(shù)生成了20組,每組20ns,所以總時長為400ns。但是仿真軟件是默認(rèn)運(yùn)行1us的,所以就看到了如圖所示的情況,在此,大家可以隨意生成隨機(jī)數(shù)的個數(shù)。我們驗(yàn)證仿真時,只需要看前400ns的波形就可以了。按照波形顯示,我們可以驗(yàn)證到波形輸出與與門真值表相同,所以最終結(jié)果是正確的。至于下板驗(yàn)證后續(xù)再出相關(guān)文章說明。

審核編輯:湯梓紅
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598898
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2137

    瀏覽量

    120386
  • Quick
    +關(guān)注

    關(guān)注

    0

    文章

    17

    瀏覽量

    12439
  • 開發(fā)軟件
    +關(guān)注

    關(guān)注

    1

    文章

    24

    瀏覽量

    12893
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65853

原文標(biāo)題:Xilinx FPGA Vivado 開發(fā)流程

文章出處:【微信號:HXSLH1010101010,微信公眾號:FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    #硬聲創(chuàng)作季 #FPGA Xilinx開發(fā)-01 Vivado設(shè)計流程及使用模式-1

    fpga芯片XilinxVivado
    水管工
    發(fā)布于 :2022年10月08日 22:38:19

    #硬聲創(chuàng)作季 #FPGA Xilinx開發(fā)-01 Vivado設(shè)計流程及使用模式-2

    fpga芯片XilinxVivado
    水管工
    發(fā)布于 :2022年10月08日 22:39:01

    #硬聲創(chuàng)作季 #FPGA Xilinx開發(fā)-11 與Vivado設(shè)計流程相關(guān)的一些技巧-1

    fpga芯片XilinxVivado
    水管工
    發(fā)布于 :2022年10月08日 22:48:40

    #硬聲創(chuàng)作季 #FPGA Xilinx開發(fā)-11 與Vivado設(shè)計流程相關(guān)的一些技巧-2

    fpga芯片XilinxVivado
    水管工
    發(fā)布于 :2022年10月08日 22:49:29

    #硬聲創(chuàng)作季 #FPGA Xilinx入門-02B 基于VivadoFPGA開發(fā)流程實(shí)踐-1

    fpgaXilinxVivado
    水管工
    發(fā)布于 :2022年10月09日 01:11:37

    #硬聲創(chuàng)作季 #FPGA Xilinx入門-02B 基于VivadoFPGA開發(fā)流程實(shí)踐-2

    fpgaXilinxVivado
    水管工
    發(fā)布于 :2022年10月09日 01:12:07

    #硬聲創(chuàng)作季 #FPGA Xilinx入門-02B 基于VivadoFPGA開發(fā)流程實(shí)踐-3

    fpgaXilinxVivado
    水管工
    發(fā)布于 :2022年10月09日 01:12:30

    #硬聲創(chuàng)作季 #FPGA Xilinx入門-02B 基于VivadoFPGA開發(fā)流程實(shí)踐-4

    fpgaXilinxVivado
    水管工
    發(fā)布于 :2022年10月09日 01:13:00

    #硬聲創(chuàng)作季 #FPGA Xilinx入門-02B 基于VivadoFPGA開發(fā)流程實(shí)踐-5

    fpgaXilinxVivado
    水管工
    發(fā)布于 :2022年10月09日 01:13:30

    【Artix-7 50T FPGA申請】FPGA由Altera轉(zhuǎn)Xilinx系列筆記

    :1、Xilinx A7系列FPGA芯片與S6系列FPGA芯片的對比2、Vivado軟件安裝與介紹3、使用
    發(fā)表于 10-11 18:15

    Xilinx Artix-7 FPGA快速入門、技巧與實(shí)例連載6——FPGA開發(fā)流程

    開發(fā)過程中的一個重要特點(diǎn),這就要求設(shè)計者從一開始就要非常認(rèn)真細(xì)致,否則后續(xù)的很多工作量可能就是不斷的返工。圖1.32 FPGA開發(fā)流程 基于Xili
    發(fā)表于 04-01 17:50

    嵌入式硬件開發(fā)學(xué)習(xí)教程——Xilinx Vivado HLS案例 (流程說明)

    前 言本文主要介紹HLS案例的使用說明,適用開發(fā)環(huán)境:Windows 7/10 64bit、Xilinx Vivado 2017.4、Xilinx
    發(fā)表于 11-11 09:38

    基于microblaze的vivado開發(fā)流程

    、SW撥碼開關(guān)以下是官網(wǎng)提供的資料鏈接:arty a7開發(fā)板資料Pmod DA4資料vivado安裝說明board files添加基于microblaze的vivado開發(fā)
    發(fā)表于 01-18 08:09

    Xilinx FPGA Vivado 開發(fā)流程

    設(shè)計流程。話不多說,上貨。Xilinx FPGA Vivado 開發(fā)流程在做任何設(shè)計之前,我們都
    發(fā)表于 04-13 15:18

    用TCL定制Vivado設(shè)計實(shí)現(xiàn)流程

    今天推出Xilinx已發(fā)布的《Vivado使用誤區(qū)與進(jìn)階》系列:用TCL定制Vivado設(shè)計實(shí)現(xiàn)流程。
    的頭像 發(fā)表于 05-05 09:44 ?952次閱讀
    用TCL定制<b class='flag-5'>Vivado</b>設(shè)計實(shí)現(xiàn)<b class='flag-5'>流程</b>