0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Python變量和簡(jiǎn)單賦值語句

麥辣雞腿堡 ? 來源:CSDN博客 ? 作者:時(shí)間靜止不是簡(jiǎn)史 ? 2023-03-05 17:11 ? 次閱讀

1. 變量的聲明和賦值

變量的聲明和賦值:用于將一個(gè)變量綁定到一個(gè)對(duì)象上,格式: 變量 名 = 表達(dá)式

注意: 同Java一樣, 變量在使用前必須先被初始化(先被賦值)!

最簡(jiǎn)單的表達(dá)式就是字面量。 比如: a = 3

變量賦值內(nèi)存變化情況:

運(yùn)行過程中,解釋器先運(yùn)行右邊的表達(dá)式,生成一個(gè)代表表達(dá)式運(yùn)算結(jié)果的對(duì)象;

然后,將這個(gè)對(duì)象地址賦值給左邊的變量, 如下圖所示:

wKgZomQEXB-AWmbfAACNQMPygZU983.jpg

如果直接調(diào)用未賦值的變量, 例如 print(a) 則會(huì)報(bào)如下錯(cuò)誤:

Traceback (most recent call last):

File “C:codepython_codemypro01mypy08-variable.py”, line 6, in 《module》

print(a)

NameError: name ‘a(chǎn)’ is not defined

1

2

3

4

2. 刪除變量 & 垃圾回收機(jī)制

如果對(duì)象沒有變量引用,就會(huì)被垃圾回收器回收,清空內(nèi)存空間。 (這一過程是自動(dòng)的)

也可以通過del語句刪除不再使用的變量

刪除變量操作:

a=123

del a

print(a)

1

2

3

3. 常量

Python不支持常量,因?yàn)闆]有語法規(guī)則限制改變一個(gè)常量的值

我們只能約定常量的命名規(guī)則,以及在程序的邏輯上不對(duì)常量的值作出修改

MAX_SPEED = 120

print(MAX_SPEED) # 輸出120

MAX_SPEED = 140 # 實(shí)際是可以改的。只能邏輯上不做修改。

print(MAX_SPEED) # 輸出140

4. 鏈?zhǔn)劫x值

鏈?zhǔn)劫x值用于同一個(gè)對(duì)象賦值給多個(gè)變量。

x = y = 666

1

5. 系列解包賦值

系列數(shù)據(jù)賦值給對(duì)應(yīng)相同個(gè)數(shù)的變量(個(gè)數(shù)必須保持一致)

a, b = 1, 2 # 相當(dāng)于 a=1, b=2

a, b = b, a # 變量值互換

print(a, b)

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 編程
    +關(guān)注

    關(guān)注

    88

    文章

    3521

    瀏覽量

    93275
  • 變量
    +關(guān)注

    關(guān)注

    0

    文章

    607

    瀏覽量

    28257
  • python
    +關(guān)注

    關(guān)注

    53

    文章

    4753

    瀏覽量

    84081
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Verilog過程賦值語句提問

    右邊的表達(dá)式,而不會(huì)立刻把值賦給左邊的變量,過一段時(shí)間才會(huì)賦值那在下圖中,是把第一個(gè)例子中的功能用非阻塞語句實(shí)現(xiàn)了這里說的過一段時(shí)間,是指的是CLK信號(hào)來到時(shí),才會(huì)賦值到左邊嗎?如果可
    發(fā)表于 09-20 15:10

    2.1 python常量與變量

    ;>>>2. 賦值與比較Python 中 用 = 號(hào)來給變量賦值,比如下面這個(gè)表達(dá)式,age 這個(gè)變量的值就是 18>>> age = 18
    發(fā)表于 02-16 18:34

    Python的常量與變量基礎(chǔ)知識(shí)詳解

    賦值。 舉例來說:當(dāng)我們?nèi)缦?b class='flag-5'>賦值時(shí): a = 3 # 給一個(gè)對(duì)象3賦予變量a 對(duì)于上面的賦值,Python將會(huì)明確的執(zhí)行3個(gè)步驟來響應(yīng)這個(gè)
    發(fā)表于 12-14 05:31 ?1771次閱讀

    python教程之變量簡(jiǎn)單數(shù)據(jù)類型

    本文檔的主要內(nèi)容詳細(xì)介紹的是python教程之變量簡(jiǎn)單數(shù)據(jù)類型。
    發(fā)表于 04-26 08:00 ?7次下載
    <b class='flag-5'>python</b>教程之<b class='flag-5'>變量</b>和<b class='flag-5'>簡(jiǎn)單</b>數(shù)據(jù)類型

    verilog中阻塞賦值和非阻塞賦值到底有什么區(qū)別

    1、阻塞賦值操作符用等號(hào)(即 = )表示?!白枞笔侵冈谶M(jìn)程語句(initial和always)中,當(dāng)前的賦值語句阻斷了其后的語句,也就是說
    發(fā)表于 04-25 08:00 ?0次下載
    verilog中阻塞<b class='flag-5'>賦值</b>和非阻塞<b class='flag-5'>賦值</b>到底有什么區(qū)別

    Python中的基本語句詳細(xì)資料說明

    本文簡(jiǎn)單的介紹下Python 的幾個(gè)基本語句。 print 語句 print 可同時(shí)打印多個(gè)表達(dá)式, 只要將他們用逗號(hào)隔開。
    發(fā)表于 08-20 16:19 ?43次下載
    <b class='flag-5'>Python</b>中的基本<b class='flag-5'>語句</b>詳細(xì)資料說明

    Python變量類型詳細(xì)資料說明

    Python 中的變量賦值不需要類型聲明。每個(gè)變量在內(nèi)存中創(chuàng)建,都包括變量的標(biāo)識(shí),名稱和數(shù)據(jù)這些信息。每個(gè)
    發(fā)表于 08-24 16:47 ?3次下載
    <b class='flag-5'>Python</b>的<b class='flag-5'>變量</b>類型詳細(xì)資料說明

    Python變量類型詳細(xì)說明

    變量賦值Python 中的變量賦值不需要類型聲明。 每個(gè)變量在內(nèi)存中創(chuàng)建,都包括
    發(fā)表于 01-15 16:29 ?1次下載
    <b class='flag-5'>Python</b>的<b class='flag-5'>變量</b>類型詳細(xì)說明

    探究西門子SCL-基本數(shù)據(jù)類型變量賦值

    賦值 當(dāng)一個(gè)值被指定,變量的當(dāng)前值被通過表達(dá)式指定的新的值代替。此表達(dá)式也能夠包含函數(shù)標(biāo)識(shí)符,其被語句激活,然后返回相應(yīng)的值(返回值)。 如下圖所示,在賦值符右邊的表達(dá)式被求值,且得到
    的頭像 發(fā)表于 05-24 14:56 ?5297次閱讀
    探究西門子SCL-基本數(shù)據(jù)類型<b class='flag-5'>變量</b>的<b class='flag-5'>賦值</b>

    Python-無處不在的變量

    Python中,每個(gè)變量在使用前都必須賦值,變量賦值以后,該變量才會(huì)被創(chuàng)建
    的頭像 發(fā)表于 02-16 14:51 ?505次閱讀

    簡(jiǎn)述python空類和實(shí)例屬性賦值

    python類主體沒有任何內(nèi)容,只有pass語句,稱為空類。 ## 1.2 obj.attr屬性賦值 通過obj.attr=value進(jìn)行類和實(shí)例屬性賦值
    的頭像 發(fā)表于 02-21 10:30 ?912次閱讀

    python循環(huán)創(chuàng)建變量賦值

    循環(huán)是Python編程中非常重要的一個(gè)概念,它可以讓我們輕松地重復(fù)執(zhí)行某些代碼塊,從而簡(jiǎn)化編程過程并提高代碼的效率。在循環(huán)中,我們經(jīng)常需要?jiǎng)?chuàng)建變量賦值,這是非常常見的操作。接下來,我將詳盡地解釋在
    的頭像 發(fā)表于 11-23 14:51 ?1362次閱讀

    python用input賦值多個(gè)變量

    Python中,可以使用 input 函數(shù)從用戶那里獲取輸入,并將輸入賦值變量。當(dāng)用戶輸入多個(gè)值時(shí),可以使用空格、逗號(hào)或其他分隔符將它們分開。下面將詳細(xì)介紹如何使用 input 函數(shù)賦值
    的頭像 發(fā)表于 11-23 15:37 ?2461次閱讀

    oracle sql 定義變量賦值

    賦值可以通過使用PL/SQL語句塊或使用SQL*Plus工具來實(shí)現(xiàn)。下面將詳細(xì)介紹這兩種方法以及它們的具體用法。 使用PL/SQL語句塊定義和賦值
    的頭像 發(fā)表于 12-06 10:46 ?2070次閱讀

    assign語句和always語句的用法

    的用法和功能。 一、Assign語句 Assign語句的定義和語法 Assign語句用于在HDL中連續(xù)賦值,它允許在設(shè)計(jì)中為信號(hào)或變量分配一
    的頭像 發(fā)表于 02-22 16:24 ?1556次閱讀