0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

萬字長文聊聊“車規(guī)級”芯片

旺材芯片 ? 來源:焉知智能汽車 ? 2023-03-10 09:28 ? 次閱讀

什么是 Automotive Grade(也就是我們常說的車規(guī)級)?就是始終如一的可靠性。

汽車發(fā)動機起動的那一刻開始就得在酷熱的夏季和嚴(yán)寒的冬夜里工作。手機壽命為 2 至 4 年,但您的汽車要用十年以上。

此外,所有汽車零部件都必須抵御更大的溫度波動。如果消費類電子設(shè)備(如智能手機)承受的溫度超過其有限的耐受范圍,就可能發(fā)出錯誤的信息或干脆關(guān)機。

這在車規(guī)級系統(tǒng)中是無法容忍。所以汽車工程師要確保從儀表集群,導(dǎo)航屏幕到高級駕駛員輔助系統(tǒng)以及自動駕駛傳感器,芯片等所有零部件都能滿足嚴(yán)苛要求。

這就是我們的汽車解決方案(硬件)額定運行溫度為 -40~105 攝氏度,甚至 125 攝氏度的原因。

耐溫性只是影響我國汽車系統(tǒng)規(guī)范和測試的關(guān)鍵因素之一,相對于消費者級別的同類產(chǎn)品而言,我國對汽車系統(tǒng)規(guī)范和測試方法提出了更嚴(yán)格的要求。

例如,安全功能件必須有經(jīng)過 ISO 26262 ASIL 認(rèn)證的專用生產(chǎn)線(當(dāng)然也要具備 IATF16949 的基礎(chǔ)),以消除制造過程中人為失誤的可能性。使用任何達(dá)不到最高要求的商品都會放大駕駛安全所帶來的危險。

01

“車規(guī)級”的套路

車規(guī) - 渾水摸魚者有之

近年來,越來越多的傳感器、芯片等新的汽車電子產(chǎn)品導(dǎo)入到汽車行業(yè),車規(guī)級也開始變得亂象叢生。

滿足、符合、達(dá)到。..。..滿大街都是初創(chuàng)公司,車規(guī)級三字前加令人目不暇接的定語自然也有車規(guī)級二字后加“量產(chǎn)”二字的,那就是另一種無法言喻的“赤裸裸”PR。

符合,漢語詞典解釋為:合乎現(xiàn)存的樣式,格式或規(guī)范。滿足,解釋為:對某件事情“感到”已足夠了,要注意這是個主觀判斷。達(dá)到,解釋為:多表示抽象的事物或度,如到達(dá)獲得/未到達(dá),同為主觀判斷。

換言之,符合就是“正品”。那些被稱為滿足,實現(xiàn)的詞匯就是你的“臆想”。也有一些細(xì)微的稱呼:例如,聽從車規(guī)級設(shè)計。其實,其中很有貓膩。

當(dāng)然,不管你怎么 PR,產(chǎn)品最后都要送到“戰(zhàn)場”去檢驗(比如,經(jīng)常會碰到很多供應(yīng)商,拍胸脯說“自己的產(chǎn)品過車規(guī)沒問題”)。

目前,車規(guī)級汽車電子比較相關(guān)的就是 AEQ 質(zhì)量標(biāo)準(zhǔn)。

AEC-Q100 是一種基于封裝集成電路應(yīng)力測試的失效機制。汽車電子委員會(AEC)總部設(shè)在美國,最初由三大汽車制造商(克萊斯勒、福特和通用汽車)建立,目的是建立共同的零部件資格和質(zhì)量體系標(biāo)準(zhǔn)。

在 1992 年夏天的一次 JEDEC 會議上產(chǎn)生了建立 AEC 的想法。提出了共同資格規(guī)范的想法,作為改善這種情況的一種可能方法。在隨后的 JEDEC 會議上,確定共同合格規(guī)范的想法是可行的,不久之后就開始了 Q100(集成電路壓力測試合格)的工作。

當(dāng)前 AEC-Q100 在集成電路中的應(yīng)用以離散部件 AEC-Q101 和無源部件 AEC-Q200 為主。

其中 AEC-Q100 分五個級別以溫度范圍為根本劃分準(zhǔn)則。其中,0 級最高(-40°C to+150°C),1 級為 -40°C to+125°C,2 級為-40°C to+105°C(也就是比較常見的),最低級是 4 級(0°C to+70°C)。0 級以引擎蓋下方環(huán)境條件最差為主,1、2級用在汽車其他部位。

除 AEQ 外,另一個需要遵循的規(guī)范是 2011 年國際標(biāo)準(zhǔn)化組織(ISO)制定的 ISO 26262,主要用于功能安全件,如 ADAS 相關(guān)的傳感器和系統(tǒng)。

79b4a6aa-be5f-11ed-bfe3-dac502259ad0.jpg

汽車安全完整性等級(ASIL)就是由 ISO 26262 -道路車輛功能安全標(biāo)準(zhǔn)定義的一種風(fēng)險分類方案。這是對 IEC 61508 中用于汽車工業(yè)的安全完整性級別的調(diào)整。

這種分類有助于定義符合 ISO 26262 標(biāo)準(zhǔn)所必需的安全要求。ASIL 是將潛在危險作為風(fēng)險分析的目標(biāo),通過觀察汽車行駛情景的嚴(yán)重性、暴露程度和可控性來設(shè)定的。該危害安全目標(biāo)也符合 ASIL 的規(guī)定。

ASIL A、ASIL B、ASIL C、ASIL D是四個等級,其中 ASIL D 對產(chǎn)品的完整性要求最高,ASIL A 最低。

ASILs 由危害分析與風(fēng)險評估確立。對汽車上的每個電子元件,工程師必須測出三個特定變量:嚴(yán)重程度(司機和旅客傷害分類)和暴露程度(汽車接觸危險的次數(shù))、可控性(司機可以做到什么程度才能避免傷害),所有這些變量都被分解為子類。

嚴(yán)重程度有“無傷”(S0)至“致命/致命傷”(S3)4 種。

曝光分為五類,涵蓋“很不太可能”(E0)和“非??赡堋保‥4)。

可控性有四種類型,即由“一般可控”(C0)向“不可控”(C3)的轉(zhuǎn)變。

所有變量和子分類都被分析并結(jié)合在一起,從而決定了期望 ASIL。

如安全氣囊、防抱死剎車、動力轉(zhuǎn)向系統(tǒng)等系統(tǒng)要求 ASIL-D 級——安全保障采用最嚴(yán)密性的系統(tǒng)——因為與之發(fā)生故障相關(guān)的風(fēng)險最大。另一頭,尾燈等零件僅需 ASIL-A級別。頭燈和剎車燈一般是 ASIL-B,而巡航控制一般是 ASIL-C。

79c2b7cc-be5f-11ed-bfe3-dac502259ad0.png

考慮到確定 ASIL 危害等級所涉及的猜測工作,汽車工程師協(xié)會(SAE)在 2015 年起草了 J2980,“ISO 26262 ASIL 危害等級的考慮因素”。這些準(zhǔn)則對具體危害暴露程度,嚴(yán)重程度,可控性等方面的評價有較為清晰的指引。

ISO 26262 已經(jīng)成為汽車開發(fā)過程中功能性安全的指導(dǎo)標(biāo)準(zhǔn)。但近年來,隨著 ADAS 及自動駕駛技術(shù)的快速導(dǎo)入,這一標(biāo)準(zhǔn)的瓶頸也開始出現(xiàn)。

J2980 還在繼續(xù)發(fā)展 —— SAE 在 2018 年發(fā)布了一個修訂版。隨著自動駕駛汽車的發(fā)展,ISO 26262 將需要重新定義“可控性”,這一定義目前屬于人類駕駛員。

按照目前的標(biāo)準(zhǔn),沒有人工駕駛意味著可控性將永遠(yuǎn)是 C3,即“無法控制”的極限?!捌渌兞康膰?yán)重程度(傷害)和暴露(可能性)無疑也需要重新檢查。

國際標(biāo)準(zhǔn)化組織還對 ISO26262:2018 進(jìn)行了更新。本版增加了汽車功能安全環(huán)境下半導(dǎo)體設(shè)計與使用指導(dǎo)。

芯片(單片機)第一次被運用到汽車中,用來控制發(fā)動機的運轉(zhuǎn)。它稱為 ECU 或發(fā)動機控制單元。1968 年大眾汽車上出現(xiàn)了首款 ECU,實現(xiàn)了特定的功能:EFI(電子燃油噴射)。

時至今日,汽車上已有 50 個多 ECU 專為動力系統(tǒng),車載娛樂系統(tǒng),主動安全系統(tǒng)以及通信系統(tǒng)等各方面進(jìn)行監(jiān)控。接下來,除了分布式網(wǎng)絡(luò)和集中域控制架構(gòu)外,更多的芯片(比過去的ECU更為復(fù)雜)也將出現(xiàn)在新車中。

ISO26262:2018 第 11 部分全面概述功能安全相關(guān)半導(dǎo)體產(chǎn)品的研發(fā)項目。這些問題包括半導(dǎo)體元件整體描述及其發(fā)展和可能劃分。包括相關(guān)的硬件故障、錯誤和故障模式。本發(fā)明也涉及知識產(chǎn)權(quán)(IP)特別是與 ISO 26262 有關(guān)的有一個或更多安全要求的知識產(chǎn)權(quán)。

安全、可靠應(yīng)該貫徹始終

但是現(xiàn)如今汽車電子產(chǎn)品的可靠性出現(xiàn)了越來越多的新問題,造成了整個供應(yīng)鏈的混亂局面,與此同時還發(fā)現(xiàn)了一系列的問題,如數(shù)據(jù)不充分、定義不清晰、專業(yè)水平參差不齊等等。

例如大部分汽車芯片并不基于高級節(jié)點進(jìn)行研發(fā)。但那些需要大量計算能力才能在瞬間做出安全關(guān)鍵決策的技術(shù),比如人工智能,將需要最高的可用密度。

由此而產(chǎn)生的可靠性問題在高級節(jié)點上主要被忽視了,因為使用上述技術(shù)開發(fā)出的芯片多數(shù)之前都以消費類電子或受控環(huán)境為對象。

同時,較新的制造工藝通常比已有的成熟和老工藝技術(shù)生產(chǎn)出更具缺陷的零部件。該缺陷密度大意味著制造后測試達(dá)到相同質(zhì)量水平時仍需達(dá)到更高的缺陷覆蓋率。

利用抽象邏輯故障模型產(chǎn)生測試序列進(jìn)行缺陷檢測的傳統(tǒng)方法已不完全適用。要使用高級過程節(jié)點的復(fù)雜集成電路來實現(xiàn)自動化級別的質(zhì)量級別,就需要測試模式生成理解缺陷如何以及在哪里被物理地揭露,并且必須知道這些缺陷在模擬意義上的行為,而不僅僅是數(shù)字意義上的行為。

例如使用 finFET 工藝前邏輯單元內(nèi)以及互連線內(nèi)缺陷對半分割普遍存在。當(dāng)finFET被提出時,相對于互連層而言,晶體管及相關(guān)邏輯單元制作工藝復(fù)雜度成比例增加。隨著更多晶體管技術(shù)被提出,這一差別有望延續(xù)至5nm,3nm和更低。

但所有的汽車電子產(chǎn)品,特別是安全關(guān)鍵部件和系統(tǒng),現(xiàn)在都要在生產(chǎn)過程中和生產(chǎn)完成后進(jìn)行嚴(yán)格的測試。

可靠性也存在一個與成本成正比的問題。在汽車安全關(guān)鍵部件和系統(tǒng)的設(shè)計中,供應(yīng)鏈上下的每一個供應(yīng)商都要完成更多的環(huán)節(jié),這就增加了更多的測試時間,繼而加大了成本。

眾所周知,汽車零部件的檢測是最復(fù)雜和最昂貴的檢測。現(xiàn)在人們都在想辦法削減成本,但汽車行業(yè)非常謹(jǐn)慎和有條理。

解決這個問題有兩種完全不同的想法。一種是采用系統(tǒng)級測試,費用比較昂貴,但是允許在實際系統(tǒng)背景中進(jìn)行測試。但是系統(tǒng)級測試是否真的能增加總體成本還不清楚,因為溫度通常需要三個不同的插入點而系統(tǒng)級測試可能只需要一個插入。

另一種方法是先關(guān)注成本,然后找出哪些測試是必要的,哪些測試是不必要的。

此外,并不是所有的錯誤都是一樣的,也不是所有的錯誤都是可以預(yù)測的。ISO 26262 識別系統(tǒng)故障,系統(tǒng)故障是我們可以發(fā)現(xiàn)、預(yù)測和修復(fù)的故障,而隨機故障則屬于“發(fā)生的事情”。

要使汽車系統(tǒng)可靠、安全,現(xiàn)在整個汽車供應(yīng)鏈必須融入一種安全文化,可靠性是根本,雖然沒有 100% 可靠。

同時,汽車供應(yīng)鏈關(guān)系正變得越來越復(fù)雜。

比如,一方面?zhèn)鹘y(tǒng)半導(dǎo)體供應(yīng)商,需要開始和 OEM 制造商開始深入交流,而過去這些交流停留在 Tier1 層面;

另一方面,傳統(tǒng)半導(dǎo)體供應(yīng)商還可能需要和 Tier1 或 OEM 進(jìn)行競爭,而后者則可能自己生產(chǎn)芯片或?qū)Π雽?dǎo)體供應(yīng)商合作伙伴提出明確要求。

此外,還包括涌入汽車行業(yè)的數(shù)以千計的初創(chuàng)公司,它們在汽車行業(yè)相對缺乏經(jīng)驗。而 ISO 26262 要求在整個價值鏈中進(jìn)行高水平的協(xié)作和信息共享,這可能是新進(jìn)入者所不熟悉的。

過去供應(yīng)鏈采用瀑布模型,OEM 會給一級供應(yīng)商提供一個規(guī)范,然后他們再決定涉及哪個二級供應(yīng)商,以此類推,直到 3 級和 4 級。

今天,這一進(jìn)程對汽車制造商來說已經(jīng)變得太慢了,信息溝通不足。許多汽車制造商都開始打破這一傳統(tǒng)價值鏈。他們開始直接接觸原始技術(shù)供應(yīng)商(過去可能是 Tier2 甚至是 Tier3),因為他們想知道這項技術(shù)真正能做什么,特別是在尖端技術(shù)領(lǐng)域。

他們也想知道這些之前未直接對接的間接供應(yīng)商在做什么實驗,以確保產(chǎn)品生命周期能持續(xù) 10 年以上。

而 Tier2 乃至 Tier3 對這些產(chǎn)品都很感興趣,因為他們還想了解最終用戶 OEM 究竟在使用這些產(chǎn)品干什么,應(yīng)在什么應(yīng)用條件下運行?

縱觀汽車產(chǎn)業(yè),科技是不斷變革的,安全可靠的標(biāo)準(zhǔn)也是越來越嚴(yán)。而對于那些到處喊著“車規(guī)級”的創(chuàng)業(yè)企業(yè)來說,坑蒙掛騙并不適用于汽車行業(yè),相反恰恰是汽車行業(yè)“進(jìn)入門檻較高”的表現(xiàn)。

02

車規(guī)芯片 VS 消費電子芯片

消費電子芯片和車規(guī)芯片的設(shè)計考慮重點有很大不同,導(dǎo)致工藝制程也有很大不同。硬要比高低的話,像評論《天龍八部》中喬峰“降龍十八掌”和《倚天屠龍記》中張無忌“九陽神功”孰強孰弱,確實很難面面俱到,下面小編嘗試剖析一番,以饗讀者。

側(cè)重點有所不同

01 手機芯片:天下武功唯快不破

不管是手機,平板電腦,機頂盒還是智能穿戴設(shè)備消費電子芯片,在研發(fā)階段都會考慮性能,功耗和成本等三個方面維度。

智能機時代芯片性能強與弱成為了評價一個型號優(yōu)劣的重要標(biāo)準(zhǔn),不管是開黑王者榮耀,還是吃雞和平精英都可以用更強的 CPU 芯片來帶來極致游戲感受。以高通驍龍 865 芯片為例,采用 1*Cortex-A77(2.84GHz)+3*Cortex A77(2.42GHz )+4*Cortex-A55(1.8GHz )的架構(gòu),NPU 可以實現(xiàn) 15 萬億次/秒的運算能:ISP速度達(dá)到了 20 億像素/秒的處理速度,可以支持2億像素攝像頭。

一塊芯片上數(shù)十億個晶體管在高頻工作時,會產(chǎn)生大量的動態(tài)功耗、短路功耗和漏電功耗,如果不加以控制,不僅會出現(xiàn)計算錯誤的結(jié)果,甚至可以把電路中某些環(huán)節(jié)會融合到一起而使得芯片無法修復(fù)。所以消費電子除了追求性能外,還要兼顧功耗問題,不然很容易出現(xiàn)機身燙手、待機時間減少、使用體驗下降等問題。

隨著芯片性能的日益強悍,芯片價格不斷上漲,在手機總成本中占據(jù)了越來越大的份額。以高通驍龍 865 為例,成本在 700 元左右,占所搭載的機型成本比例分別為小米 10pro 占比 14%、紅米 K30pro 占比 23%、OPPO findX2pro 占比 10%、三星 S2ultra 占比為 7%;麒麟 990 的成本約為 500 元,約占華為 nova6 售價的 16%、P40 售價的 10%、P40 PRO售價的 7%、P40 pro plus 售價的 5%;聯(lián)發(fā)科天璣1000的價格是 280 元,約占 OPPO Reno3 售價的 9.8%;所以不管是從提升產(chǎn)品的競爭力或者是提升企業(yè)利潤的角度來看,對芯片成本進(jìn)行控制是非常有必要的。

7ab56620-be5f-11ed-bfe3-dac502259ad0.jpg

02 汽車芯片:穩(wěn)定壓倒一切

汽車芯片因其交通工具的特殊性而十分注重可靠性,安全性及長效性!為何首推可靠性?由于車規(guī)芯片的特點:

1、車輛運行環(huán)境惡劣

發(fā)動機艙內(nèi)溫度區(qū)間為 -40°C~150°C,所以車輛芯片要滿足這一較大溫度運行區(qū)間,消費芯片僅要滿足 0°C~70°C 的運行環(huán)境。加之車輛行進(jìn)時會遇到較多振動與沖擊,且車內(nèi)環(huán)境濕度大,粉塵大,侵蝕大等問題遠(yuǎn)超消費芯片所需。

2、汽車產(chǎn)品的設(shè)計壽命更長

手機的生命周期在 3 年,最多不超過 5 年,而汽車設(shè)計壽命普遍都在 15 年或 20 萬 公里左右,遠(yuǎn)大于消費電子產(chǎn)品壽命要求。因此,汽車芯片的產(chǎn)品生命周期要求在 15 年以上,而供貨周期可能長達(dá) 30 年。

在這樣的情況下,如何保持芯片的一致性、可靠性,是車規(guī)芯片首先要考慮的問題。

3、安全在汽車芯片中格外重要

汽車芯片的安全主要由功能安全與信息安全兩個方面組成。

手機芯片死掉可以停機重新啟動,但一旦汽車芯片宕機就有可能引發(fā)嚴(yán)重安全事故,這對于消費者而言根本無從談起。因此,在汽車芯片設(shè)計時,首先要將功能安全放在架構(gòu)設(shè)計之初就成為車規(guī)芯片中極為重要的組成部分,采用獨立的安全島的設(shè)計,在關(guān)鍵模塊、計算模塊、總線、內(nèi)存等等都有 ECC、CRC 的數(shù)據(jù)校驗,包括整個生產(chǎn)過程都采用車規(guī)芯片的工藝,以確保車規(guī)芯片的功能安全。

隨著車聯(lián)網(wǎng)技術(shù)的推廣,信息安全變得越來越重要,汽車作為實時在線設(shè)備,其與網(wǎng)絡(luò)的溝通包括與車內(nèi)車載網(wǎng)絡(luò)溝通,都要加密數(shù)據(jù),不然就有可能被黑客入侵。因此有必要預(yù)先將高性能加密校驗?zāi)K嵌入到芯片內(nèi)部。

針對功能安全,國際組織 IEC 發(fā)布了 IEC 61508 標(biāo)準(zhǔn),并衍生出了一系列適用不同行業(yè)的功能安全標(biāo)準(zhǔn),如下圖:

7ac68fea-be5f-11ed-bfe3-dac502259ad0.png

4、汽車芯片設(shè)計還要考慮長效性

手機芯片的發(fā)展基本遵循摩爾定律,每年都會發(fā)布新一代芯片,每年都有新旗艦機的上市,基本上一款芯片能滿足兩三年內(nèi)的軟件系統(tǒng)性能需求即可。但汽車開發(fā)周期較長,新車型從研發(fā)到上市驗證需要至少兩年的時間,意味著汽車芯片設(shè)計必須具有前瞻性,能夠滿足顧客今后 3 ~ 5 年內(nèi)的一種前瞻性需求。此外,隨著當(dāng)前汽車中軟件數(shù)量的不斷增加,從芯片開發(fā)角度看,不僅需要支持多個操作系統(tǒng),而且還需要支持軟件中不斷迭代的要求。

所以車規(guī)級芯片表現(xiàn)出產(chǎn)業(yè)化周期長、供應(yīng)體系閾值高等特點。進(jìn)入汽車電子主流供應(yīng)鏈體系需滿足多項基本要求:滿足北美汽車產(chǎn)業(yè)所推出的 AEC-Q100(IC)、101(離散元件)、200 (被動零件)可靠度標(biāo)準(zhǔn);遵從汽車電子、軟件功能安全國際標(biāo)準(zhǔn) ISO 26262;符合 ISO 21448 預(yù)期功能安全,覆蓋基于非系統(tǒng)失效導(dǎo)致的安全隱患;符合 ISO21434 網(wǎng)絡(luò)安全要求,合理保證車輛及系統(tǒng)網(wǎng)絡(luò)安全;滿足零失效供應(yīng)鏈品質(zhì)管理準(zhǔn)則 IATF 16949 標(biāo)準(zhǔn)?;旧弦粋€芯片車規(guī)級認(rèn)證一般需要 3 - 5 年的時間,這對于芯片廠商來說是巨大的技術(shù)成本,生產(chǎn)成本和時間成本考驗。Mobileye 用了整整 8 年才獲得第一張車企訂單,英偉達(dá)當(dāng)前主力芯片 Xavier 的研發(fā)耗資達(dá) 20 億美元。

7ad0a4f8-be5f-11ed-bfe3-dac502259ad0.jpg

使用的工藝制程不同

芯片制作時,減小芯片內(nèi)部電路間距離能將較少晶體管塞到較少芯片上,使其運算性能較強,同時也能帶來降低功耗。所以從早期微米到晚期納米芯片對制程工藝大小十分重視。然而制程不可能無限地收縮,電晶體收縮至約 20 納米時會遭遇量子物理上的困擾,晶體管漏電,抵消了收縮柵極長度所帶來的好處。為了解決這個問題,加州大學(xué)伯克利分校的胡正明教授發(fā)明了鰭式場效應(yīng)晶體管(FinFET)大幅改善電路控制并減少漏電流。

目前,手機芯片工藝制程從較早的 90 納米,到后來的 65 納米、45 納米、32 納米、28 納米、16 納米、12 納、7 納米、一直發(fā)展到目前最新的 5 納米。手機芯片的制程尺寸正在向 1 納米進(jìn)發(fā)。

傳統(tǒng)車用芯片的制備,因汽車自身空間大,集成度要求不如手機這種消費電子迫切。加之車用芯片以發(fā)電機,底盤,安全和車燈控制等為核心的低算力領(lǐng)域使得汽車芯片并沒有象消費電子芯片那樣狂熱地追逐高級制程工藝,而是傾向于優(yōu)先選擇成熟的制程工藝。不過隨著汽車智能化的發(fā)展,更高級別的自動駕駛對高算力的急迫需求,將推動著汽車算力平臺制程向 7 納米及以下延伸。NXP 打算在 2021 年推出基于 5nm 制程的下一代高性能汽車計算平臺。

7b136ef0-be5f-11ed-bfe3-dac502259ad0.png

國產(chǎn)汽車芯片的未來

曾幾何時,汽車芯片市場因其市場規(guī)模的限制而變得十分小眾,所以很少有外來入局者進(jìn)入,數(shù)十年間都為恩智浦,德州儀器瑞薩半導(dǎo)體這些汽車芯片巨頭壟斷著。隨著汽車電子化和智能化水平的不斷提高,汽車電子系統(tǒng)的市場規(guī)模在逐年增大,三星,英特爾,高通,英偉達(dá),賽靈思等頂尖芯片企業(yè)相繼涉足汽車芯片領(lǐng)域,同樣給我國企業(yè)營造出一種‘變中求機’的局面。

7b2b469c-be5f-11ed-bfe3-dac502259ad0.png

在功能芯片領(lǐng)域,上市公司中穎電子、兆易創(chuàng)新、東軟載波都涉及汽車電子領(lǐng)域,但市占率極少。杰發(fā)科技于 2018 年收獲車規(guī)級 MCU 芯片訂單,標(biāo)志國內(nèi)首款通過AEC-100 Grade1 的車規(guī)級 MCU 正式量產(chǎn)上市,打破國外的技術(shù)壟斷。

在主控芯片方面,華為以昇騰,昇騰和麒麟等系列芯片為核心,完整地布局汽車智能計算平臺,地平線則率先量產(chǎn) AI 芯片上車。

車載存儲芯片方面兆易創(chuàng)新和合肥長鑫緊密合作,2019 年推出 GD25 全系列 SPI NOR FLASH,滿足 AEC-Q100 標(biāo)準(zhǔn),是目前唯一全國產(chǎn)化車規(guī)存儲器解決方案;宏旺半導(dǎo)體推出 eMMC/DDR/LPDDR/SSD/DIMM 等嵌入式存儲、移動存儲,拓展汽車電子應(yīng)用領(lǐng)域。

在車載通信芯片領(lǐng)域,華為已累計為全球數(shù)百萬輛汽車提供 4G 通信模組,5G 模組也已實現(xiàn)量產(chǎn)上車;C-V2X 領(lǐng)域,國內(nèi)涌現(xiàn)出華為、大唐、高新興、移遠(yuǎn)通信等為代表的一大批 C-V2X 芯片模組企業(yè),華為基帶芯片 Balong 765 、Balong 5000 相繼應(yīng)用于車載單元和路邊單元,大唐高鴻順利實現(xiàn)C-V2X車規(guī)級模組 DMD3A 量產(chǎn)。國外公司高通和國內(nèi)模組廠商如高新興和移遠(yuǎn)通信的廣泛合作促進(jìn)了 C-V2X 芯片組的推廣和應(yīng)用,Autotalks 也積極和大唐及其他國內(nèi)廠商開展 C-V2X 芯片的互操作實驗。

在功率芯片領(lǐng)域,MOSFET 方面,聞泰科技占據(jù)全球4%的市場份額,華潤微電子在國內(nèi) MOSFET 市場占比 8.7%;IGBT,國內(nèi)公司以株洲中車時代電氣,比亞迪,斯達(dá)股份和上海先進(jìn)為主。

從整體上看,中國芯片產(chǎn)業(yè)起步晚、基礎(chǔ)差,在車規(guī)級研發(fā)與量產(chǎn)應(yīng)用過程中也面臨著很多限制。國外芯片巨頭依然占領(lǐng)中國本土車用半導(dǎo)體芯片市場國內(nèi)公司技術(shù)積累,資金和人才都不能和國際巨頭競爭大環(huán)境,中國汽車芯片產(chǎn)業(yè)要想取得突破并走向強盛,不是一蹴而就的,必須立足于現(xiàn)在,按照行業(yè)發(fā)展客觀規(guī)律辦事,謹(jǐn)防畢其功于一役投機思維,防止出現(xiàn)投資過熱、盲目低水平重復(fù)建設(shè)等問題,抓住智能網(wǎng)聯(lián)與新能源的發(fā)展契機,才有可能由單點突破向生態(tài)突圍轉(zhuǎn)變。

在中國智能汽車市場蓬勃發(fā)展、國家支持***企業(yè)蓬勃發(fā)展的大背景下,我們堅信:中“芯”火,一定會燎原!

03 車規(guī)級芯片分類

一輛汽車需要哪些半導(dǎo)體器件?

7b46f798-be5f-11ed-bfe3-dac502259ad0.png

若只考慮汽車行駛所需芯片呢?

7b5609fe-be5f-11ed-bfe3-dac502259ad0.png

傳統(tǒng)汽車 VS 智能電動車核心部件對比

7c018400-be5f-11ed-bfe3-dac502259ad0.png

汽車芯片按功能主要分為計算與控制芯片、傳感器芯片、功率半導(dǎo)體、模擬和通信芯片、存儲芯片等種類。

計算與控制芯片

主要用于計算分析和決策。傳統(tǒng)汽車分布式 E/E 架構(gòu)下 ECU 控制單一功能,用 MCU 芯片即可滿足要求,而汽車域集中架構(gòu)下的域控制器DCU)和中央集中式架構(gòu)下的中央計算機則需要 SOC 芯片。

7c0f1188-be5f-11ed-bfe3-dac502259ad0.png

MCU / SOC 計算核心分為 CPU、GPU、DSPASIC、FPGA 等多種。

MCU 又稱單片機,一般只包含 CPU 一個處理單元,MCU = CPU + 存儲 +接口單元;

SOC 是系統(tǒng)級芯片,一般包含多個處理單元,例如:SOC 可為 CPU + GPU +DSP + NPU + 存儲 + 接口單元。

7c24c12c-be5f-11ed-bfe3-dac502259ad0.png

CPU、GPU、DSP 屬于通用處理芯片:

》 CPU 是中央處理器,擅長處理邏輯控制;

》 GPU 善于處理圖像信號;

》 DSP 善于處理數(shù)字信號。

ASIC 是專用處理器芯片,F(xiàn)PGA是“半專用”處理器芯片。

MCU、SOC 主要布局企業(yè)有:

7c67d516-be5f-11ed-bfe3-dac502259ad0.png

傳感器芯片

主要負(fù)責(zé)車身狀態(tài)和外界環(huán)境的感知和采集。傳統(tǒng)汽車車用傳感器主要有 8 種:壓力傳感器、位置傳感器、溫度傳感器、加速度傳感器、角度傳感器、流感傳感器、氣體傳感器和液位傳感器,而智能電動車還包括 CIS、激光雷達(dá)、毫米波雷達(dá)、MEMS 等半導(dǎo)體產(chǎn)品。

智能傳感器主要布局企業(yè)有:

7c830f2a-be5f-11ed-bfe3-dac502259ad0.png

功率半導(dǎo)體

主要負(fù)責(zé)功率轉(zhuǎn)換,多用于電源和接口。例如:IGBT功率芯片、MOSFET等。目前電動車(不含 48VMHEV)系統(tǒng)架構(gòu)中涉及到功率器件的組件包括:電機驅(qū)動系統(tǒng)中的主逆變器、車載充電系統(tǒng)(OBC)、電源轉(zhuǎn)換系統(tǒng)(DC-DC)和非車載充電樁。

IGBT (Insulated Gate Bipolar Transistor),中文名稱絕緣柵雙極型晶體管,是由 BJT 和 MOSFET 組成的復(fù)合功率半導(dǎo)體器件,同時具備 MOSFET 開關(guān)速度高、輸入阻抗高、控制功率低、驅(qū)動電路簡單、開關(guān)損耗小的優(yōu)點。

MOSFET(Metal Oxide Semiconductor Field Effect Transistor-金屬氧化物半導(dǎo)體場效應(yīng)晶體管)是一種半導(dǎo)體器件,廣泛用于開關(guān)目的和電子設(shè)備中電子信號的放大。

SiC 是由硅(Si)和碳(C)組成的化合物半導(dǎo)體材料,是目前在電力電子領(lǐng)域發(fā)展最快的功率半導(dǎo)體器件之一。

功率半導(dǎo)體主要布局企業(yè)有:

7c927050-be5f-11ed-bfe3-dac502259ad0.png

模擬及通信芯片

模擬芯片主要處理連續(xù)的聲、光、電、速度等自然模擬信號的集成電路,通訊芯片主要用于總線控制、藍(lán)牙/WiFi等方面。

模擬 IC 主要布局企業(yè)有:

7ca805be-be5f-11ed-bfe3-dac502259ad0.png

存儲類芯片

主要用于數(shù)據(jù)存儲。DRAM、NAND Flash 是車載存儲芯片主流產(chǎn)品。

存儲類芯片主要布局企業(yè)有:

7cb1c1b2-be5f-11ed-bfe3-dac502259ad0.png

04 一圖總結(jié)

7cd6a270-be5f-11ed-bfe3-dac502259ad0.png

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417151
  • 集成電路
    +關(guān)注

    關(guān)注

    5366

    文章

    11162

    瀏覽量

    358371

原文標(biāo)題:萬字長文聊聊“車規(guī)級”芯片,你想知道的在這里嗎?

文章出處:【微信號:wc_ysj,微信公眾號:旺材芯片】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    規(guī)的器件選型

    `各位今天聊聊規(guī)芯片選型。如果需要的芯片沒有車規(guī)
    發(fā)表于 10-15 14:22

    什么是規(guī)芯片

    `  誰來闡述一下什么是規(guī)芯片?`
    發(fā)表于 10-18 10:55

    請問規(guī)芯片到底有哪些要求?

    請問規(guī)芯片到底有哪些要求?
    發(fā)表于 06-18 07:56

    探究物聯(lián)網(wǎng)行業(yè)的一線聲音

    在這篇萬字長文中,共有45家企業(yè)說出了關(guān)于物聯(lián)網(wǎng)行業(yè)的,企業(yè)的年度感想。
    的頭像 發(fā)表于 02-05 17:16 ?4325次閱讀

    規(guī)芯片的分類 2021年規(guī)IGBT芯片上市公司有哪些

    規(guī)芯片是,汽車元件。規(guī)是適用于汽車電子元件的
    的頭像 發(fā)表于 12-09 14:23 ?2w次閱讀

    人工智能300年!LSTM之父萬字長文:詳解現(xiàn)代AI和深度學(xué)習(xí)發(fā)展史

    來源:新智元 編輯:昕朋 好困 導(dǎo)讀 最近,LSTM之父Jürgen Schmidhuber梳理了17世紀(jì)以來人工智能的歷史。在這篇萬字長文中,Schmidhuber為讀者提供了一個大事年表,其中
    的頭像 發(fā)表于 01-10 12:25 ?589次閱讀

    萬字長文聊聊規(guī)芯片

    AEC-Q100 是一種基于封裝集成電路應(yīng)力測試的失效機制。汽車電子委員會(AEC)總部設(shè)在美國,最初由三大汽車制造商(克萊斯勒、福特和通用汽車)建立,目的是建立共同的零部件資格和質(zhì)量體系標(biāo)準(zhǔn)。
    的頭像 發(fā)表于 03-29 10:46 ?1191次閱讀

    科普:一文看懂什么是規(guī)芯片

    MCU芯片,分為消費、工業(yè)、規(guī)、QJ、GJ五個等級。其中,
    的頭像 發(fā)表于 10-28 15:38 ?4175次閱讀
    科普:一文看懂什么是<b class='flag-5'>車</b><b class='flag-5'>規(guī)</b><b class='flag-5'>級</b><b class='flag-5'>芯片</b>

    人工智能300年!LSTM之父萬字長文:詳解現(xiàn)代AI和深度學(xué)習(xí)發(fā)展史

    來源:新智元編輯:昕朋好困導(dǎo)讀最近,LSTM之父JürgenSchmidhuber梳理了17世紀(jì)以來人工智能的歷史。在這篇萬字長文中,Schmidhuber為讀者提供了一個大事年表,其中包括神經(jīng)網(wǎng)絡(luò)
    的頭像 發(fā)表于 01-13 11:02 ?785次閱讀
    人工智能300年!LSTM之父<b class='flag-5'>萬字長文</b>:詳解現(xiàn)代AI和深度學(xué)習(xí)發(fā)展史

    5萬字長文說清楚到底什么是“規(guī)

    隨著汽車電動化及智能化的發(fā)展,以及這幾年特斯拉、比亞迪及國內(nèi)造新勢力的強勢崛起,自動駕駛技術(shù)的發(fā)展,同時隨著國產(chǎn)化替代的推進(jìn),“規(guī)”這個詞也越來越多的出現(xiàn)在了大家的視野中。但是“
    的頭像 發(fā)表于 01-14 10:56 ?884次閱讀
    5<b class='flag-5'>萬字長文</b>說清楚到底什么是“<b class='flag-5'>車</b><b class='flag-5'>規(guī)</b><b class='flag-5'>級</b>”

    萬字長文盤點!2022十大AR工業(yè)典型案例,不可不看!

    萬字長文盤點!2022十大AR工業(yè)典型案例,不可不看!
    的頭像 發(fā)表于 01-17 14:43 ?1983次閱讀
    近<b class='flag-5'>萬字長文</b>盤點!2022十大AR工業(yè)典型案例,不可不看!

    貞光科技:一文看懂什么是規(guī)芯片

    MCU芯片,分為消費、工業(yè)、規(guī)、QJ、GJ五個等級。其中,
    的頭像 發(fā)表于 02-03 16:52 ?2046次閱讀
    貞光科技:一文看懂什么是<b class='flag-5'>車</b><b class='flag-5'>規(guī)</b><b class='flag-5'>級</b><b class='flag-5'>芯片</b>

    貞光科技 | 萬字長文詳解規(guī)芯片

    貞光科技從規(guī)微處理器MCU、功率器件、電源管理芯片、信號處理芯片、存儲芯片、二、三極管、光耦、晶振、阻容感等汽車電子元器件為客戶提供全產(chǎn)業(yè)
    的頭像 發(fā)表于 03-24 16:58 ?808次閱讀
    貞光科技 | <b class='flag-5'>萬字長文</b>詳解<b class='flag-5'>車</b><b class='flag-5'>規(guī)</b><b class='flag-5'>級</b><b class='flag-5'>芯片</b>

    如何用AI聊天機器人寫出萬字長文

    如何用AI聊天機器人寫出萬字長文
    的頭像 發(fā)表于 12-26 16:25 ?944次閱讀

    阿里通義千問重磅升級,免費開放1000萬字長文檔處理功能

    近日,阿里巴巴旗下的人工智能應(yīng)用通義千問迎來重磅升級,宣布向所有人免費開放1000萬字長文檔處理功能,這一創(chuàng)新舉措使得通義千問成為全球文檔處理容量第一的AI應(yīng)用。
    的頭像 發(fā)表于 03-26 11:09 ?623次閱讀