0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

start()如何執(zhí)行uvm_sequence

jf_78858299 ? 來源:芯片驗證工程師 ? 作者:驗證哥布林 ? 2023-03-21 11:37 ? 次閱讀

圖片

要使用start()啟動一個sequence,就必須要指定相應的sequencer句柄, 另外的幾個選項一般用不上 。其中

  • parent_sequence是父類sequene句柄
  • this_priority默認為1,或者父類sequence的priority
  • call_pre_post指定需不需要指定pre_body()和post_body()任務

一般來說,上面的3個選項都用不上的。

圖片

上面的代碼是使用start()方法啟動一個sequence的示例??梢院芮宄乜吹絧arent_seq和call_pre_post對于執(zhí)行過程的影響,其作用相當于一個個的行為開關。

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Start
    +關注

    關注

    0

    文章

    73

    瀏覽量

    9984
  • sequence
    +關注

    關注

    0

    文章

    23

    瀏覽量

    2820
收藏 人收藏

    評論

    相關推薦

    UVM sequence分層有哪幾種方式呢

    。class upper_env_item_seq extends uvm_sequence #(lower_env_item);... // Constructor and UVM automation
    發(fā)表于 04-11 16:37

    請問一下在UVM中的UVMsequences是什么意思啊

    UVM方法學中,UVMsequences 是壽命有限的對象。UVM sequences從uvm_sequence_item基類擴展得到,uvm_sequence_item進一步從
    發(fā)表于 04-11 16:43

    UVM sequence分層的幾種體現(xiàn)

    驗證環(huán)境需要對數(shù)據(jù)進行分層。例如,將32比特的寄存器讀寫封裝成數(shù)據(jù)讀寫和狀態(tài)讀寫等實際業(yè)務操作等或者對底層sequence進行一些隨機控制等。實現(xiàn)這種分層可以有兩種方式:1、Sequence
    發(fā)表于 04-14 11:08

    如何配置sequence的仲裁算法和優(yōu)先級及中斷sequence執(zhí)行

    01 Arbitrary在UVM中,多個sequence可以同時被綁定到相同的sequencer并啟動。這種測試場景在實際中是存在的,比如在模擬同一個總線master口上的不同類型的數(shù)據(jù)流時,可以將
    發(fā)表于 09-23 14:35

    sequence item實際應用中應該包含哪些東西

    UVM sequence機制提供了生成和控制事務級(transaction level)測試激勵的方式,這種方式來源于工程實踐,讓測試用例的開發(fā)更加高效,同時也提高了測試激勵的可重用性。在UVM框架
    發(fā)表于 09-23 14:42

    UVM中seq.start()和default_sequence執(zhí)行順序

      1. 問題  假如用以下兩種方式啟動sequence,方法1用sequencestart()方法啟動seq1,方法2用UVM的default_
    發(fā)表于 04-04 17:15

    淺談UVMsequence/item見解(上)

    item指的是uvm_sequence_item, Sequence Item具備UVM核心基類所必要的數(shù)據(jù)操作方法,對于激勵的生成和場景控制,是由sequence來編織的,item應
    的頭像 發(fā)表于 02-19 15:52 ?4938次閱讀
    淺談<b class='flag-5'>UVM</b>之<b class='flag-5'>sequence</b>/item見解(上)

    Modelsim uvm庫編譯及執(zhí)行

    第一句話是設置uvm環(huán)境變量,指定uvm的dpi位置。 第二句話是創(chuàng)建work工作目錄。 第三句話是編譯源文件,并且通過-L指定幾個編譯庫。 第三句是執(zhí)行仿真,調(diào)用uvm
    的頭像 發(fā)表于 12-01 11:25 ?3685次閱讀
    Modelsim <b class='flag-5'>uvm</b>庫編譯及<b class='flag-5'>執(zhí)行</b>

    UVM sequence機制中response的簡單使用

    sequence作為UVM幾個核心機制之一,它有效地將transaction的產(chǎn)生從driver中剝離出來,并且通過和sequencer相互配合,成功地將driver的負擔降低至僅聚焦于根據(jù)協(xié)議將
    的頭像 發(fā)表于 09-22 09:26 ?2169次閱讀

    UVMsequence的那些事兒

    將 生成測試case的語句 從 main_phase 中獨立出來,使得使用不同測試用例時,只需要修改sequence部分即可,而不用關注 UVM剩余部分。
    的頭像 發(fā)表于 05-26 15:17 ?918次閱讀
    <b class='flag-5'>UVM</b>中<b class='flag-5'>sequence</b>的那些事兒

    UVM中的可重用序列

    眾所周知,序列由幾個數(shù)據(jù)項組成,它們共同構成了一個有趣的場景。序列可以是分層的,從而創(chuàng)建更復雜的方案。在最簡單的形式中,序列應該是 uvm_sequence 基類的派生,方法是指定請求和響應項類型參數(shù),并使用要執(zhí)行的特定方案實現(xiàn) body 任務。
    的頭像 發(fā)表于 05-29 09:50 ?568次閱讀

    UVM中的uvm_do宏簡析

    uvm_do宏及其變體提供了創(chuàng)建、隨機化和發(fā)送transaction items或者sequence的方法。
    的頭像 發(fā)表于 06-09 09:36 ?4474次閱讀
    <b class='flag-5'>UVM</b>中的<b class='flag-5'>uvm</b>_do宏簡析

    在Sequencer上啟動一個Sequence

    Sequencer默認不執(zhí)行任何Sequence。驗證工程師可以通過調(diào)用start()啟動一個Sequence,也可以通過uvm_confi
    的頭像 發(fā)表于 06-10 09:10 ?700次閱讀
    在Sequencer上啟動一個<b class='flag-5'>Sequence</b>

    UVM設計中的sequence啟動方式有哪幾種呢?

    本篇介紹UVM中的sequence,這是UVM中最基礎的部分。對于前面介紹的uvm_callback, uvm_visitor等,很少被使用
    的頭像 發(fā)表于 08-17 10:07 ?3357次閱讀
    <b class='flag-5'>UVM</b>設計中的<b class='flag-5'>sequence</b>啟動方式有哪幾種呢?

    如何將sequences類型添加或注冊到sequence library里呢?

    uvm_sequence_library是從uvm_sequence擴展而來的,它是一個容納了一系列其它sequences類型的容器,在啟動時,它會根據(jù)模式從這系列sequences中選擇并執(zhí)行它們。
    的頭像 發(fā)表于 09-08 15:06 ?537次閱讀
    如何將sequences類型添加或注冊到<b class='flag-5'>sequence</b> library里呢?