0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

奇數(shù)分頻器的設計

CHANBAEK ? 來源:Andy的ICer之路 ? 作者:AndyICer ? 2023-03-23 15:06 ? 次閱讀

上一篇文章介紹了偶分頻,今天來介紹一下奇數(shù)分頻器的設計。

入門從簡單開始,先來個三分頻分析一下。 三分頻其實就是把輸入時鐘的三個周期當作一個周期,具體波形如圖所示。 (本文只針對于占空比為50%的分析)

此處還是用計數(shù)器的方式完成設計,但是與偶分頻有所區(qū)別。 因為奇數(shù)分頻的頻率與輸入的時鐘頻率有相位差,因此需要增加一些信號完成設計。 clk_n是下降沿觸發(fā)的信號,clk_p是上升沿觸發(fā)的信號,通過計數(shù)并且因為不同的邊沿觸發(fā)而形成一定的相位差,并將兩個信號進行或門處理,最后輸出的就是奇數(shù)分頻結(jié)果了。

缺點分析:奇數(shù)分頻需要在兩個觸發(fā)器之后再加一個組合邏輯門,這個組合邏輯門不僅會增加時鐘的延時,而且在設計當中可能出現(xiàn)毛刺。

具體的占空比為50%的任意奇數(shù)分頻的代碼如下所示。

`timescale 1ns/1ps
module CLK_DIV #(parameter DIV_NUM=3)(
input clk,
input rst_n,
output clk_out
);

//all odd div
reg [4:0] cnt1,cnt2;
reg clk_p,clk_n;

always @(posedge clk,negedge rst_n)
if(!rst_n) begin
cnt1 <= 0;
clk_p <= 1'b0;
end
else begin
if(cnt1 == DIV_NUM-1) begin
cnt1 <= 0;
clk_p <= clk_p;
end
else begin
cnt1 <= cnt1 + 1'b1;
if(cnt1 == ((DIV_NUM-1)/2)-1 || cnt1 == DIV_NUM-2)
clk_p <= ~clk_p;
end
end

always @(negedge clk,negedge rst_n)
if(!rst_n) begin
cnt2 <= 0;
clk_n <= 1'b0;
end
else begin
if(cnt2 == DIV_NUM-1) begin
cnt2 <= 0;
clk_n <= clk_n;
end
else begin
cnt2 <= cnt2 + 1'b1;
if(cnt2 == ((DIV_NUM-1)/2)-1 || cnt2 == DIV_NUM-2)
clk_n <= ~clk_n;
end
end

assign clk_out = clk_p | clk_n;

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 邏輯門
    +關注

    關注

    1

    文章

    136

    瀏覽量

    23969
  • 分頻器
    +關注

    關注

    43

    文章

    445

    瀏覽量

    49579
  • 分頻
    +關注

    關注

    0

    文章

    239

    瀏覽量

    24801
  • 觸發(fā)器
    +關注

    關注

    14

    文章

    1990

    瀏覽量

    60867
  • 代碼
    +關注

    關注

    30

    文章

    4670

    瀏覽量

    67760
收藏 人收藏

    評論

    相關推薦

    奇數(shù)分頻如何得到呢? 解讀奇數(shù)分頻和邏輯分析儀(ILA)的使用

    前言: 偶數(shù)分頻容易得到:N倍偶數(shù)分頻,可以通過由待分頻的時鐘觸發(fā)計數(shù)計數(shù),當計數(shù)從0計數(shù)到N/2-1時,輸出時鐘進行翻轉(zhuǎn),并給計數(shù)
    的頭像 發(fā)表于 12-28 15:49 ?2827次閱讀

    如何實現(xiàn)一種占空比為50%的奇數(shù)分頻器設計呢?

    在進行數(shù)字電路設計的過程中,分頻器是設計中使用頻率較高的一種基本設計之一
    的頭像 發(fā)表于 11-07 17:29 ?2401次閱讀
    如何實現(xiàn)一種占空比為50%的<b class='flag-5'>奇數(shù)分頻器</b>設計呢?

    需要講解一個占空比50%的奇數(shù)分頻器的原理

    本帖最后由 haozix521 于 2013-10-4 20:42 編輯 想做一個占空比50%的奇數(shù)分頻器,但是不是很了解這其中的意思。網(wǎng)上的資料都是這樣講的“對于實現(xiàn)占空比為50%的N倍奇數(shù)分頻
    發(fā)表于 10-04 20:35

    FPGA實現(xiàn)小數(shù)分頻器

    介紹了一種基于FPGA的雙模前置小數(shù)分頻器分頻原理及電路設計,并用VHDL編程實現(xiàn)分頻器的仿真.
    發(fā)表于 11-29 16:43 ?48次下載
    FPGA實現(xiàn)小<b class='flag-5'>數(shù)分頻器</b>

    用Verilog實現(xiàn)基于FPGA的通用分頻器的設計

    用 Verilog實現(xiàn)基于FPGA 的通用分頻器的設計時鐘分頻包括奇數(shù)和偶數(shù)分頻
    發(fā)表于 07-14 11:32 ?46次下載

    分頻器的作用是什么 半整數(shù)分頻器原理圖分析

    分頻器主要分為偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻和小數(shù)分頻,如果在設計過程中采用參數(shù)化設計,就可以隨時改變參量以得到不同的
    發(fā)表于 02-01 01:28 ?1.6w次閱讀
    <b class='flag-5'>分頻器</b>的作用是什么 半整<b class='flag-5'>數(shù)分頻器</b>原理圖分析

    奇數(shù)分頻器的介紹和實現(xiàn)

    因為偶數(shù)分頻器過于簡單,所以我們從奇數(shù)分頻器開始說起8 01 奇數(shù)分頻器 ? ? 假設我們要實現(xiàn)一個2N+1分頻分頻器,就需要高電平占N+
    的頭像 發(fā)表于 03-12 15:44 ?6223次閱讀
    <b class='flag-5'>奇數(shù)分頻器</b>的介紹和實現(xiàn)

    基于CPLD/FPGA的半整數(shù)分頻器設計方案

    基于CPLD/FPGA的半整數(shù)分頻器設計方案
    發(fā)表于 06-17 09:37 ?21次下載

    關于奇數(shù)分頻器

    第一步:分別使用原時鐘上升沿和下降沿產(chǎn)生兩個計數(shù)(基于上升沿計數(shù)的cnt1和基于下降沿計數(shù)的cnt2),計數(shù)在計數(shù)到2N時,計數(shù)歸零重新從零開始計數(shù),依次循環(huán)
    發(fā)表于 08-22 09:54 ?781次閱讀

    數(shù)分頻器的設計

    所謂“分頻”,就是把輸入信號的頻率變成成倍數(shù)地低于輸入頻率的輸出信號。數(shù)字電路中的分頻器主要是分為兩種:整數(shù)分頻和小數(shù)分頻。其中整數(shù)分頻又分
    的頭像 發(fā)表于 03-23 15:06 ?1435次閱讀
    偶<b class='flag-5'>數(shù)分頻器</b>的設計

    數(shù)分頻器的設計

    前面分別介紹了偶數(shù)和奇數(shù)分頻(即整數(shù)分頻),接下來本文介紹小數(shù)分頻。
    的頭像 發(fā)表于 03-23 15:08 ?940次閱讀
    小<b class='flag-5'>數(shù)分頻器</b>的設計

    數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻和小數(shù)分頻詳解

    初學 Verilog 時許多模塊都是通過計數(shù)與分頻完成設計,例如 PWM 脈寬調(diào)制、頻率計等。而分頻邏輯往往通過計數(shù)邏輯完成。本節(jié)主要對偶數(shù)分頻、奇數(shù)分頻、半整
    的頭像 發(fā)表于 03-29 11:38 ?4295次閱讀
    偶<b class='flag-5'>數(shù)分頻</b>、<b class='flag-5'>奇數(shù)分頻</b>、半整<b class='flag-5'>數(shù)分頻</b>和小<b class='flag-5'>數(shù)分頻</b>詳解

    基于Verilog的分數(shù)分頻電路設計

    上一篇文章時鐘分頻系列——偶數(shù)分頻/奇數(shù)分頻/分數(shù)分頻,IC君介紹了各種分頻器的設計原理,其中分數(shù)分頻器
    的頭像 發(fā)表于 04-25 14:47 ?1471次閱讀
    基于Verilog的分<b class='flag-5'>數(shù)分頻</b>電路設計

    介紹一下奇數(shù)分頻器的設計

    入門從簡單開始,先來個三分頻分析一下。三分頻其實就是把輸入時鐘的三個周期當作一個周期,具體波形如圖所示。
    的頭像 發(fā)表于 06-05 16:57 ?1058次閱讀
    介紹一下<b class='flag-5'>奇數(shù)分頻器</b>的設計

    FPGA學習-分頻器設計

    是用于滿足設計的需求。 分頻:產(chǎn)生比板載時鐘小的時鐘。 倍頻:產(chǎn)生比板載時鐘大的時鐘。 二:分頻器的種類 對于分頻電路來說,可以分為整數(shù)分頻和小數(shù)分
    的頭像 發(fā)表于 11-03 15:55 ?1264次閱讀
    FPGA學習-<b class='flag-5'>分頻器</b>設計