0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

小數分頻器的設計

CHANBAEK ? 來源:Andy的ICer之路 ? 作者:AndyICer ? 2023-03-23 15:08 ? 次閱讀

前面分別介紹了偶數和奇數分頻(即整數分頻),接下來本文介紹小數分頻。

對于要求相位以及占空比嚴格的小數分頻,建議采用模擬電路實現(xiàn)。 而使用數字電路實現(xiàn)只能保證盡量均勻,在長時間內進行分頻 。

在討論小數分頻之前,先問一個問題:設計中是否真的需要50%占空比的時鐘

在回答這個問題之前,可以先回顧之前我們寫過的RTL設計,可以看一下之前的RTL設計代碼中always塊是不是大部分都是@(posedge clk)。 對于絕大多數的觸發(fā)器,其實只需要用到時鐘的上升沿觸發(fā),很少用到下降沿。 在這種情況下,只要上升沿和時鐘頻率有關系,什么時候來下降沿不重要! 所以50%的占空比不是必須的 。

因此在小數分頻器中我們關注的是如何得到一個盡量均勻的分頻信號,而不是得到一個絕對50%占空比的分頻信號。

下面以4.5倍的分頻(非50%占空比)作為例子介紹,即每9個參考時鐘包含2個對稱脈沖。 下面是4.5分頻的設計步驟。

①:使用復位值為000000001的9位移位寄存器,可以在每個時鐘上升沿使移位寄存器循環(huán)左移一位。

②:要產生第一個脈沖,必須使在半周期時移動第一位并將第一位與第二位進行或操作。

③:要產生第二個脈沖,第5位和第6位必須在半周期時移動并與原始第6位進行或操作。

注意:所有這些移位都是用來保證輸出波形不含毛刺的必要條件。

上面產生的時鐘占空比為40%,并且輸出的時鐘完全不含毛刺。

always@(posedge clk or negedge rst_n)
  if(!rst_n)
    cnt[9:1] <= 9'b000000001;
  else
    cnt[9:1] <= cnt[9:1] << 1;
    
    
always@(negedge clk or negedge rst_n)
  if(!rst_n)begin
    count1 <= 1'b0;
    count5 <= 1'b0;
    count6 <= 1'b0;
  end
  else begin
    count1 <= cnt[1];
    count5 <= cnt[5];
    count6 <= cnt[6];  
  end

assign clkout = (cnt[6] | count5 | count6) | 
                (cnt[0] | cnt[1] |count1);

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 脈沖
    +關注

    關注

    20

    文章

    876

    瀏覽量

    95267
  • 分頻器
    +關注

    關注

    43

    文章

    445

    瀏覽量

    49580
  • 時鐘
    +關注

    關注

    10

    文章

    1673

    瀏覽量

    130949
  • RTL
    RTL
    +關注

    關注

    1

    文章

    384

    瀏覽量

    59515
  • 小數分頻
    +關注

    關注

    0

    文章

    7

    瀏覽量

    6668
收藏 人收藏

    評論

    相關推薦

    基于FPGA的雙模前置小數分頻器的設計

             頻率合成技術是現(xiàn)代通訊系統(tǒng)的重要組成部分,他將一個高穩(wěn)定和高準確度的基準頻率,經過四則運算,產生同樣穩(wěn)定度和基準度的
    發(fā)表于 11-08 17:58 ?1788次閱讀
    基于FPGA的雙模前置<b class='flag-5'>小數分頻器</b>的設計

    FPGA眾多分頻資料,不容錯過

    `基于FPGA+的任意小數分頻器的設計基于FPGA的多種分頻設計與實現(xiàn)基于FPGA的小數分頻器的實用Verilog 實現(xiàn)基于FPGA 的通用分頻用Verilog+HDL實現(xiàn)基于FPGA
    發(fā)表于 02-03 15:02

    低通濾波的FPGA設計及仿真

    主要任務:1.熟悉低通濾波的原理及應用2.熟悉FPGA的硬件描述3.FPGA如何實現(xiàn)小數分頻器4.用MATLAB對低通濾波的驗證預期成果或目標:FPGA對低通濾波的Verilog
    發(fā)表于 04-04 22:16

    請問AD9361中ADC、DAC采樣時鐘的可設置的最小分辨率是多少?

    AD9361中ADC、DAC采樣時鐘可通過小數分頻器設置,其可設置的最小分辨率是多少?與輸入參考時鐘頻率是什么關系?
    發(fā)表于 08-06 09:26

    FPGA的小數分頻器的實現(xiàn),并行快速FIR濾波的FPGA實現(xiàn).pdf

    發(fā)表于 09-17 10:45

    如何在保證相位噪聲性能的基礎上改善整數邊界雜散達10dB?

    小數分頻器整數邊界雜散問題的提出小數分頻器整數邊界雜散的優(yōu)化設計
    發(fā)表于 04-19 08:32

    基于FPGA的小數分頻器如何去實現(xiàn)?

    雙模前置小數分頻原理是什么?如何對小數分頻器進行仿真測試?
    發(fā)表于 04-29 07:29

    任意分頻系數小數分頻器相關文檔及源代碼

    任意分頻系數小數分頻器相關文檔及源代碼
    發(fā)表于 08-03 09:49 ?75次下載
    任意<b class='flag-5'>分頻</b>系數<b class='flag-5'>小數分頻器</b>相關文檔及源代碼

    FPGA實現(xiàn)小數分頻器

    介紹了一種基于FPGA的雙模前置小數分頻器分頻原理及電路設計,并用VHDL編程實現(xiàn)分頻器的仿真.
    發(fā)表于 11-29 16:43 ?48次下載
    FPGA實現(xiàn)<b class='flag-5'>小數分頻器</b>

    △∑小數頻率合成器中的小數分頻器設計

    △∑小數頻率合成器中的小數分頻器設計設計方案、技術指標、調試等。
    發(fā)表于 05-24 10:03 ?9次下載

    實現(xiàn)任意整數分頻的原理與方法講解

    分頻器是一種基本電路,通常用來對某個給定頻率進行分頻,得到所需的頻率。整數分頻器的實現(xiàn)非常簡單,可采用標準的計數,也可以采用可編邏輯器件設計實現(xiàn)。但在某些場合下,時鐘源與所需的頻率不
    的頭像 發(fā)表于 11-20 07:05 ?7087次閱讀

    如何使用FPGA進行任意小數分頻器的設計

    論文分析了雙模前置小數分頻器分頻原理和電路實現(xiàn)。結合脈沖刪除技術,提出了一種適于硬件電路實現(xiàn)的任意小數分頻的設計方案 ,用 VerilogHDL語 言編程 ,在 QuartusII下對 此方案進 行 了仿 真 ,并用 Cycl
    發(fā)表于 08-02 08:00 ?5次下載
    如何使用FPGA進行任意<b class='flag-5'>小數分頻器</b>的設計

    具有小數分頻器的CDCM6208 2:8時鐘生成器/抖動消除數據表

    電子發(fā)燒友網站提供《具有小數分頻器的CDCM6208 2:8時鐘生成器/抖動消除數據表.pdf》資料免費下載
    發(fā)表于 08-20 09:07 ?0次下載
    具有<b class='flag-5'>小數分頻器</b>的CDCM6208 2:8時鐘生成器/抖動消除<b class='flag-5'>器</b>數據表

    CDCM6208V1F具有小數分頻器的2:8時鐘生成器/抖動消除數據表

    電子發(fā)燒友網站提供《CDCM6208V1F具有小數分頻器的2:8時鐘生成器/抖動消除數據表.pdf》資料免費下載
    發(fā)表于 08-20 09:13 ?0次下載
    CDCM6208V1F具有<b class='flag-5'>小數分頻器</b>的2:8時鐘生成器/抖動消除<b class='flag-5'>器</b>數據表

    CDCM6208V2G具有小數分頻器的2:8時鐘發(fā)生/抖動消除數據表

    電子發(fā)燒友網站提供《CDCM6208V2G具有小數分頻器的2:8時鐘發(fā)生/抖動消除數據表.pdf》資料免費下載
    發(fā)表于 08-20 09:14 ?0次下載
    CDCM6208V2G具有<b class='flag-5'>小數分頻器</b>的2:8時鐘發(fā)生<b class='flag-5'>器</b>/抖動消除<b class='flag-5'>器</b>數據表