0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

國產FPGA搭建圖像處理平臺

OpenFPGA ? 來源:OpenFPGA ? 2023-03-24 09:10 ? 次閱讀

最近收到了高云寄過來的FPGA板卡,下圖:

FPGA主要參數:

FPGA型號 參數
GW2A-LV18PG256C8/I7 邏輯單元(LUT4)20736
寄存器(FF)15552
分布式靜態(tài)隨機存儲器S-SRAM(bits)41472
塊狀靜態(tài)隨機存儲器B-SRAM(bits)828K
塊狀靜態(tài)隨機存儲器數目B-SRAM(個)46
乘法器(18x18 Multiplier)48
鎖相環(huán)(PLLs)4
I/O Bank 總數8

因為板卡是第三方的,所以不予評價,我們今天主要從下面幾方面簡單說明一下:EDA、IP、編譯速速、生態(tài)。

EDA

我這次使用的教育版軟件,無需License,但是也會有些限制。

打開界面:

acdf39b4-c9d1-11ed-bfe3-dac502259ad0.png

整體和lattice diamond界面類似,這里有個不舒服的地方就是文件沒有層級關系,需要綜合之后才能在Hierarchy看到層級關系:

ad0cb448-c9d1-11ed-bfe3-dac502259ad0.png

這里對于大型或者多文件工程極其不友好,對于繼承代碼,那簡直。。。

在編寫完代碼后,綜合、布局布線界面極其方便,在下面界面可完成。

ad46e7a8-c9d1-11ed-bfe3-dac502259ad0.png

同時綁定引腳也可以使用兩種方式,綜合后通過界面分配或者文件物理約束都可:

ad66634e-c9d1-11ed-bfe3-dac502259ad0.png

最后布局布線,點擊下圖中兩個位置都可以打開下載插件:

ada5d07e-c9d1-11ed-bfe3-dac502259ad0.png

這個插件可以單獨使用無需license,對于小批量生產很方便。

但是這里也有一個小BUG,在打開下載插件時候,這里的文件不一定是你這個工程的文件:

adbd41e6-c9d1-11ed-bfe3-dac502259ad0.png

尤其是在使用邏輯分析儀時候,會重新生成新的二進制文件(新的文件名),而上圖的文件位置并不會更新成新的文件,需要重新選擇,這兩點很容易讓你下載到FPGA的目標文件和生成的文件并不是一個文件(調試了好久。。。),這里可以不記憶或者下方提示或者在選擇文件位置加一個驚嘆號類似的提示。

高云的FPGA還有一個好處就是無需外部FLASH就可以固化啟動文件,因為FPGA內部有FLASH(類似Intel CPLD),也可以使用外部FLASH進行雙備份,這樣即減少了電路復雜度,也減少了成本增加了穩(wěn)定性。

IP

上面簡單介紹了EDA軟件(云源軟件)的使用,下面看下對于開發(fā)者最重要的一部分--IP,一個強大的IP環(huán)境可以為開發(fā)者節(jié)省很多開發(fā)時間,我們先看下教育版的IP有多少:

ade68128-c9d1-11ed-bfe3-dac502259ad0.png

下圖就是EDA提供的常規(guī)IP,也很豐富,DSP、常用接口、CLOCK、Memory等,因為我用的是教育版EDA,不知道和正版有哪些缺失,不過有些IP我是不能用的,這很正常,差異性服務是未來的趨勢。

ae112a04-c9d1-11ed-bfe3-dac502259ad0.png

這里我們重點關注下面箭頭的部分:

ae3b635a-c9d1-11ed-bfe3-dac502259ad0.png

做圖像處理的應該都理解這幾個IP的重要性,其中Video Frame Buffer類似AMD-Xilinx 的“VFIFO”,幀緩存至DDR并且從DDR讀取幀數據進行顯示或者處理,這大大減少了開發(fā)者操作DDR的開發(fā)周期。

編譯速速

這個我就不多說了,因為本身軟件需要做優(yōu)化的地方比較少(內部主頻比較低),所以速度很快,后續(xù)大容量、高主頻的FPGA出來后速度肯定會降低,目前沒辦法對比。

生態(tài)

這個我就不單獨以高云為例了,而是目前國產FPGA,都是一樣的,生態(tài)環(huán)境幾乎為零,主要是前期一直以站穩(wěn)市場為主,沒有進行推廣,所以生態(tài)沒建立起來很正常,隨著未來的發(fā)展,肯定會越來越好~

圖像處理平臺

在這個基礎上可以做自己的IP添加進去。后續(xù)大家有興趣再詳細講解這個工程。

總結

國產FPGA在發(fā)展,目前在價格上優(yōu)勢極其明顯,后續(xù)的發(fā)展還要靠國內的開發(fā)者去支持。

雖然目前還有一些BUG,但是還可以忍受。最后就是希望國內的FPGA可以越發(fā)展越好~






審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1620

    文章

    21510

    瀏覽量

    598990
  • cpld
    +關注

    關注

    32

    文章

    1244

    瀏覽量

    168944
  • FlaSh
    +關注

    關注

    10

    文章

    1598

    瀏覽量

    147340
  • EDA工具
    +關注

    關注

    4

    文章

    264

    瀏覽量

    31538

原文標題:【國產FPGA】國產FPGA搭建圖像處理平臺

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    基于FPGA的實時邊緣檢測系統(tǒng)設計,Sobel圖像邊緣檢測,FPGA圖像處理

    搭建圖像處理硬件平臺成為圖像處理的發(fā)展趨勢。FPGA
    發(fā)表于 05-24 07:45

    基于DSP和FPGA的通用圖像處理平臺設計

    設計一種基于DSP和FPGA架構的通用圖像處理平臺,運用FPGA實現微處理器接口設計,并對
    發(fā)表于 12-25 17:06 ?61次下載

    基于DSP和FPGA的通用圖像處理平臺設計

    基于DSP和FPGA的通用圖像處理平臺設計 摘要:設計一種基于DSP和FPGA架構的通用圖像
    發(fā)表于 02-01 11:10 ?1447次閱讀
    基于DSP和<b class='flag-5'>FPGA</b>的通用<b class='flag-5'>圖像</b><b class='flag-5'>處理</b><b class='flag-5'>平臺</b>設計

    基于FPGA的LCoS驅動和圖像處理系統(tǒng)設計

    本文設計了基于FPGA的LCoS驅動代碼及圖像的FFT變換系統(tǒng),為計算全息三維顯示圖像處理和顯示提供了硬件平臺
    發(fā)表于 06-28 09:36 ?1991次閱讀
    基于<b class='flag-5'>FPGA</b>的LCoS驅動和<b class='flag-5'>圖像</b><b class='flag-5'>處理</b>系統(tǒng)設計

    實時圖像邊緣檢測的設計及FPGA實現

    文中將FPGA應用于實時圖像邊緣檢測系統(tǒng),從而實現動態(tài)實時圖像的邊緣檢測。通過搭建實驗平臺仿真驗證表明,檢測精度和數據
    發(fā)表于 12-22 17:06 ?39次下載
    實時<b class='flag-5'>圖像</b>邊緣檢測的設計及<b class='flag-5'>FPGA</b>實現

    基于FPGA的高分辨率全景圖像處理平臺

    基于FPGA的高分辨率全景圖像處理平臺基于FPGA的高分辨率全景圖像
    發(fā)表于 11-04 16:30 ?2次下載

    基于FPGA圖像采集與處理

    基于FPGA圖像采集與處理,是一個適合初學者學習的書籍。 內容詳細完整。
    發(fā)表于 03-04 15:48 ?50次下載

    基于FPGA的紅外圖像處理系統(tǒng)及算法設計

    本文在研究紅外焦平面陣列非均勻性的特點和成因后,首先設計了紅外圖像實時處理的硬件平臺。本硬件平臺FPGA為核心
    發(fā)表于 05-17 14:29 ?5次下載

    FPGA圖像處理

    FPGA圖像處理
    發(fā)表于 12-14 22:29 ?19次下載

    略談FPGA圖像處理

    FPGA圖像處理之路,從此開始,接下來,讓我們把時間交給“圖像處理”。一休哥在動筆之前,一直在猶豫,反復思考著一個問題,這個問題一直困擾著我
    發(fā)表于 05-09 17:05 ?4090次閱讀

    基于ARM與FPGA的嵌入式實時圖像處理平臺

    基于ARM與FPGA的嵌入式實時圖像處理平臺(嵌入式開發(fā)流程包含哪些步驟和內容)-該文檔為基于ARM與FPGA的嵌入式實時
    發(fā)表于 07-30 11:41 ?5次下載
    基于ARM與<b class='flag-5'>FPGA</b>的嵌入式實時<b class='flag-5'>圖像</b><b class='flag-5'>處理</b><b class='flag-5'>平臺</b>

    FPGA中如何使用Verilog處理圖像

    FPGA項目旨在詳細展示如何使用Verilog處理圖像,從Verilog中讀取輸入位圖圖像(.bmp),處理并將
    的頭像 發(fā)表于 09-23 15:50 ?5788次閱讀

    Vitis HLS圖像處理平臺搭建

    在 2019.2 以上的版本中AMD-Xilinx去除了對 OpenCV 的庫函數的直接支持,需要我們手動搭建一個OpenCV的環(huán)境(主要是仿真環(huán)境),這一步雖然對綜合不影響,但是對于算法的功能驗證還是不方便,所以這一步對于使用OpenCV進行圖像
    的頭像 發(fā)表于 11-21 09:10 ?1020次閱讀

    FPGA學習-基于FPGA圖像處理

    圖像處理系統(tǒng)設計注意點: 1.將算法開發(fā)和 FPGA 實現分離 用軟件的圖像處理環(huán)境可以使用大批量的圖像
    的頭像 發(fā)表于 02-15 16:35 ?1112次閱讀

    基于FPGA搭建一個通用的圖像處理平臺

    本文介紹如何搭建一個通用的圖像處理平臺,采用HDMI接口進行輸入、輸出,可用于測試基于HLS的FPGA
    的頭像 發(fā)表于 09-04 18:20 ?1950次閱讀
    基于<b class='flag-5'>FPGA</b><b class='flag-5'>搭建</b>一個通用的<b class='flag-5'>圖像</b><b class='flag-5'>處理</b><b class='flag-5'>平臺</b>