0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

被卡脖子的半導(dǎo)體材料(萬字深度報(bào)告)

jf_BPGiaoE5 ? 來源:光刻人的世界 ? 2023-03-25 09:30 ? 次閱讀

半導(dǎo)體生產(chǎn)流程:

b01d7b2c-caa8-11ed-bfe3-dac502259ad0.png

半導(dǎo)體材料:

半導(dǎo)體材料按應(yīng)用環(huán)節(jié)劃分,可分為前端晶圓制造材料和后端封裝材料兩大類。主要的晶圓制造材料包括:硅片、電子特氣、光刻膠及配套試劑、濕電子化學(xué)品、拋光材料、靶材、光掩膜版等;主要的封裝材料包括:引線框架、封裝基板、陶瓷材料、鍵合金絲、切割材料等。

b03fe8d8-caa8-11ed-bfe3-dac502259ad0.png

根據(jù)SEMI數(shù)據(jù),2020年全球晶圓制造材料中,硅片占比最高,為35%;電子氣體排名第2,占比13%;掩膜版排名第3,占比12%,光刻膠占比6%;光刻膠配套材料占比8% ;濕電子化學(xué)品占比7%;CMP拋光材料占比6%;靶材占比2%。

封裝材料中,封裝基板占比最高,為48%;引線框架、鍵合絲、包封材料 、陶瓷基板、芯片粘接材料分列第2-6 名,占比分別為 15%、15%、10%、6%和3%。

b04c7404-caa8-11ed-bfe3-dac502259ad0.png

晶圓制造材料:

半導(dǎo)體硅片:

根據(jù)制造工藝分類,半導(dǎo)體硅片主要可以分為拋光片、外延片與以SOI硅片為代表的高端硅基材料。單晶硅錠經(jīng)過切割、研磨和拋光處理后得到拋光片。拋光片經(jīng)過外延生長(zhǎng)形成外延片,拋光片經(jīng)過氧化、鍵合或離子注入等工藝處理后形成SOI硅片。

b06ce1b2-caa8-11ed-bfe3-dac502259ad0.png

b08fb43a-caa8-11ed-bfe3-dac502259ad0.png

按照尺寸分類,半導(dǎo)體硅片的尺寸(以直徑計(jì)算)主要包括23mm、25mm、28mm、50mm(2 英寸)、75mm(3 英寸)、100mm(4 英寸)、125mm(5 英寸)、150mm(6 英寸)、200mm(8 英寸)與 300mm(12 英寸)等規(guī)格。

當(dāng)硅片尺寸越大,單個(gè)硅片上的芯片數(shù)量就越多,從而能夠提高生產(chǎn)效率、降低生產(chǎn)成本。300mm硅片是200mm硅片面積的2.25倍,生產(chǎn)芯片數(shù)量方面,以1.5cm×1.5cm的芯片為例,300mm硅片芯片數(shù)量232顆,200mm硅片芯片數(shù)量 88 顆,300mm硅片是200mm硅片芯片數(shù)量的2.64倍。

目前全球半導(dǎo)體硅片以12英寸為主,2020 年全球硅片12英寸占比69%,8英寸占比24%,6英寸及以下占比7%。

b09dcf8e-caa8-11ed-bfe3-dac502259ad0.png

根據(jù)頭豹研究院數(shù)據(jù),12英寸對(duì)應(yīng)3-90nm制程,產(chǎn)品包括手機(jī)SoC、CPU、GPU、存儲(chǔ)、通信、FPGA、MCU、WiFi/藍(lán)牙等;8英寸對(duì)應(yīng)90nm-0.25μm制程,產(chǎn)品包括汽車MCU、射頻、指紋識(shí)別、電源管理、功率、LED驅(qū)動(dòng)等;6 英寸對(duì)應(yīng)0.35μm -1.2μm制程,產(chǎn)品包括MOSFETIGBT、MEMS等。

b0c13ab4-caa8-11ed-bfe3-dac502259ad0.png

半導(dǎo)體硅片競(jìng)爭(zhēng)格局:

2020年,全球前五大半導(dǎo)體硅片企業(yè)信越化學(xué)、SUMCO、Siltronic、環(huán)球晶圓、SKSiltron合計(jì)銷售額109.16億美元,占全球半導(dǎo)體硅片行業(yè)銷售額比重高達(dá)89.45%。2020年,滬硅產(chǎn)業(yè)集團(tuán)市場(chǎng)份額上升至2.2%。

b0d249bc-caa8-11ed-bfe3-dac502259ad0.png

全球半導(dǎo)體硅片供給:

根據(jù)SUMCO統(tǒng)計(jì),全球8英寸硅片2022Q1出貨量約600萬片/月,12英寸硅片2022Q1出貨量接近800萬片/月。

b0f81c3c-caa8-11ed-bfe3-dac502259ad0.png

全球半導(dǎo)體需求預(yù)測(cè):

根據(jù)Sumco預(yù)測(cè),12英寸硅片需求從2022年的800萬片/月增長(zhǎng)到2026年的1150萬片,CAGR為9.4%。具體細(xì)分應(yīng)用中,智能手機(jī)和數(shù)據(jù)中心仍是占比最高的下游應(yīng)用,而汽車芯片是增速最快的細(xì)分應(yīng)用。

b11511d4-caa8-11ed-bfe3-dac502259ad0.png

國(guó)內(nèi)半導(dǎo)體硅片公司產(chǎn)能:

現(xiàn)有產(chǎn)能:8英寸200萬片/月,12英寸90萬片/月。

擴(kuò)產(chǎn)后:8英寸300萬片/月,12英寸270萬片/月。

b134e98c-caa8-11ed-bfe3-dac502259ad0.png

半導(dǎo)體硅片上游:原料和設(shè)備

設(shè)備:

從設(shè)備的采購(gòu)情況看,長(zhǎng)晶設(shè)備約占設(shè)備總投資的25%,日本Ferrotec是全球半導(dǎo)體級(jí)別長(zhǎng)晶爐的龍頭,在12英寸半導(dǎo)體硅片長(zhǎng)晶爐領(lǐng)域市占率高達(dá)80%以上。國(guó)內(nèi)北方華創(chuàng)、連城精密和晶盛機(jī)電的12英寸單晶爐雖然與國(guó)際水平仍存在差距,但技術(shù)方面的差距已在不斷縮小。

b158fca0-caa8-11ed-bfe3-dac502259ad0.png

原料:

上游半導(dǎo)體硅片原料電子級(jí)多晶硅主要依賴進(jìn)口,關(guān)鍵性的技術(shù)主要掌握在德國(guó)、日本和美國(guó)為首的企業(yè)手中,近年來,隨著國(guó)內(nèi)黃河水電(3300噸/年,國(guó)內(nèi)市占率20%)、鑫華半導(dǎo)體(年產(chǎn)5000噸)、云冶芯材、洛陽(yáng)中硅、宜昌南玻等企業(yè)逐步推進(jìn)電子級(jí)多晶硅的研究與發(fā)展,電子級(jí)多晶硅對(duì)于海外進(jìn)口的依賴正在逐漸緩解。

協(xié)鑫能源與TCL科技22年布局1萬噸電子級(jí)多晶硅產(chǎn)能,預(yù)計(jì)2023年三季度投產(chǎn),2024年三季度達(dá)產(chǎn)。

b17552b0-caa8-11ed-bfe3-dac502259ad0.png

電子特種氣體:

主要包括硅族氣體、鹵化物或鹵化物氣體、含硼、磷、砷特種氣體作為集成電路制造的關(guān)鍵材料,伴隨著下游產(chǎn)業(yè)技術(shù)的快速迭代,特種氣體的精細(xì)化程度持續(xù)提高,特別是在純度和精度方面,對(duì)特氣的要求持續(xù)提高。比如在純度方面,普通工業(yè)氣體要求在99.99%左右,但是在先進(jìn)制程的集成電路制造過程中,氣體純度要求在6N(99.9999%)以上。等原子的氣體,以及氟碳?xì)怏w,種類繁多。

衡量電子特種氣體質(zhì)量的指標(biāo)不僅是指氣體本身的純度,還包括影響制造過程的各種關(guān)鍵雜質(zhì)含量,如氧氣、水分、金屬、離子濃度、顆粒等,電子特氣中水汽、顆粒等雜質(zhì)會(huì)影響電子器件壽命,造成半導(dǎo)體線路損壞。雜質(zhì)含量通常為 ppm(百萬分之一,0.0001%)、ppb(十億分之一,十億分之一,0.0000001%)。

b184a1de-caa8-11ed-bfe3-dac502259ad0.png

b198d44c-caa8-11ed-bfe3-dac502259ad0.png

大宗氣體中的惰性氣體主要用作保護(hù)氣。氫氣和氧氣作為還原氣體和氧化氣體與其他物質(zhì)發(fā)生化學(xué)反應(yīng)。在離子注入工藝中,用到氫化物氣體作為磷源,硼源和砷源,形成P型和N型區(qū)域。在刻蝕工藝中,需要用氟化物氣體作為刻蝕氣體。在CVD工藝中,需要用硅烷和氯氣等等。在光刻過程中需要光刻氣,Kr/Ne/Ar等混合氣體作為***的光源氣體,另外,在清洗,氧化爐,退火等等制造過程也需要不同電子氣體。

b1a40f6a-caa8-11ed-bfe3-dac502259ad0.png

電子氣體競(jìng)爭(zhēng)格局:

目前全球市場(chǎng)主要被美國(guó)空氣化工Air Products、德國(guó)林德Linde、法國(guó)液化空氣Air Liquide、以及日本大陽(yáng)日酸TAIYO NIPPON SANSO四家公司占據(jù)。

b1cb6344-caa8-11ed-bfe3-dac502259ad0.png

根據(jù)中國(guó)工業(yè)氣體工業(yè)協(xié)會(huì)統(tǒng)計(jì),集成電路生產(chǎn)用的特種氣體,中國(guó)僅能生產(chǎn)約20%的品種,其余均依賴進(jìn)口。目前中國(guó)國(guó)內(nèi)企業(yè)所能批量生產(chǎn)的特種氣體仍主要集中在集成電路的清洗、蝕刻、光刻等工藝環(huán)節(jié),對(duì)摻雜、沉積等工藝的特種氣體僅有少部分品種取得突破。

b1dc33c2-caa8-11ed-bfe3-dac502259ad0.png

b21124ba-caa8-11ed-bfe3-dac502259ad0.png

電子氣體上游:原料,設(shè)備,容器

氣體原料(例如氟化物和硅烷等)及化工原料(液氧、液氮等)是電子特氣的主要生產(chǎn)原料,氣體原來主要來源于上游空氣氣體企業(yè)、金屬冶煉企業(yè)、化工生產(chǎn)企業(yè)以及粗氣體產(chǎn)品企業(yè)。氣體設(shè)備是電子特氣的重要生產(chǎn)設(shè)備,主要包括分離、純化、壓力檢測(cè)等設(shè)備。目前空分設(shè)備、基礎(chǔ)化學(xué)原料供求普遍較為穩(wěn)定,變動(dòng)較小。隨著國(guó)家對(duì)環(huán)境保護(hù)以及工業(yè)尾氣排放目標(biāo)的進(jìn)一步明確,原材料中的工業(yè)尾氣的供應(yīng)也將更加充足。

b2335f62-caa8-11ed-bfe3-dac502259ad0.png

光刻膠:

光刻膠本質(zhì)是一種感光材料,也稱光致抗蝕劑,主要用于微電子技術(shù)中微細(xì)圖形加工。在紫外光、電子束、離子束、X 射線等照射或輻射下,光刻膠溶解度會(huì)發(fā)生變化,再經(jīng)適當(dāng)溶劑溶去可溶性部分,便可實(shí)現(xiàn)圖形從掩模版到待加工基片上的轉(zhuǎn)移。進(jìn)一步,未溶解部分光刻膠作為保護(hù)層,在刻蝕步驟中保護(hù)其下方材料不被刻蝕,從而完成電路制作。

b26c3792-caa8-11ed-bfe3-dac502259ad0.png

按照下游應(yīng)用領(lǐng)域,光刻膠可分為IC光刻膠、PCB光刻膠、LCD光刻膠。IC光刻膠根據(jù)曝光波長(zhǎng)又可分g線光刻膠(436nm)、i線光刻膠(365nm)、KrF光刻膠(248nm)、ArF光刻膠(193nm)、EUV光刻膠(13.5nm)等。

b2842d16-caa8-11ed-bfe3-dac502259ad0.png

光刻膠競(jìng)爭(zhēng)格局:

目前,IC光刻膠領(lǐng)域前五大廠商占據(jù)全球87%的市場(chǎng)份額,其中日本合成橡膠(JSR)、東京應(yīng)化(TOK)、美國(guó)杜邦、信越化學(xué)、富士電子市占率分別為28%、21%、15%、13%、10%。

b294d12a-caa8-11ed-bfe3-dac502259ad0.png

中國(guó)大陸半導(dǎo)體光刻膠高度依賴進(jìn)口,本土企業(yè)在低端產(chǎn)品上有所突破。2020年中國(guó)大陸光刻膠市場(chǎng)外資企業(yè)供給占比超過70%,內(nèi)資企業(yè)主要在低端g/i線光刻膠產(chǎn)品上有些突破,6英寸硅片自產(chǎn)占比約20%,KrF、ArF、EuV光刻膠國(guó)產(chǎn)替代任重道遠(yuǎn)。

b2b29692-caa8-11ed-bfe3-dac502259ad0.png

截至2021年年初,北京科華(彤程新材)可量產(chǎn)g/i線光刻膠、KrF光刻膠,晶瑞電材可量產(chǎn)g/i線光刻膠。

b2d27052-caa8-11ed-bfe3-dac502259ad0.jpg

光刻膠原材料:

光刻膠主要原材料占比從大到小分別是溶劑(50%-90%)、樹脂(10%-40%)、光引發(fā)劑(1%-6%)以及添加劑(<1%)。

b2e72024-caa8-11ed-bfe3-dac502259ad0.png

溶劑:

目前光刻膠溶劑主要為PGMEA(丙二醇甲醚酸醋酯,簡(jiǎn)稱PMA),大陸自給率較高。根據(jù)新思界產(chǎn)業(yè)研究中心數(shù)據(jù),我國(guó)是全球最大的PGMEA生產(chǎn)國(guó)家,產(chǎn)能占據(jù)全球總產(chǎn)量的35%左右。生產(chǎn)企業(yè)有百川股份、瑞佳化學(xué)、怡達(dá)化學(xué)、華倫、德納國(guó)際等。

樹脂:

光刻膠樹脂方面,日本、美國(guó)企業(yè)目前占據(jù)主要市場(chǎng)。國(guó)內(nèi)方面,圣泉集團(tuán)、彤程新材、強(qiáng)力新材等目前開始逐步布局。

b303684c-caa8-11ed-bfe3-dac502259ad0.png

單體:

單體方面,微芯新材、徐州博康、萬潤(rùn)股份、瑞聯(lián)新材具備量產(chǎn)能力。

光引發(fā)劑:

目前被德國(guó)巴斯夫壟斷,國(guó)內(nèi)強(qiáng)力新材、久日新材能夠量產(chǎn),強(qiáng)力新材是國(guó)內(nèi)少數(shù)專營(yíng)光刻膠原料生產(chǎn)的企業(yè),兼具半導(dǎo)體光引發(fā)劑、LCD光引發(fā)劑和PCB光引發(fā)劑,2020年產(chǎn)能分別達(dá)到 80t/a、100t/a 和1400t/a。

b31ce614-caa8-11ed-bfe3-dac502259ad0.png

光掩模板:

光掩膜,即光刻掩膜版,又稱光罩、掩膜版等,是集成電路光刻工藝中的圖形轉(zhuǎn)移工具或母版。光掩膜的功能類似于傳統(tǒng)相機(jī)的“底片”,在***、光刻膠的配合下,將光掩膜上已設(shè)計(jì)好的圖案,通過曝光和顯影等工序轉(zhuǎn)移到襯底的光刻膠上,進(jìn)行圖像復(fù)制,從而實(shí)現(xiàn)批量生產(chǎn)。

b336c818-caa8-11ed-bfe3-dac502259ad0.png

光掩模板競(jìng)爭(zhēng)格局:

光掩膜主要供應(yīng)商以美日大廠為主,其中日本凸版印刷、大日本印刷、美國(guó) Photronics 三家就占了80%以上的市占率,其他還有日本豪雅HOYA、日本SK電子、中國(guó)臺(tái)灣光罩等。

b351d70c-caa8-11ed-bfe3-dac502259ad0.png

目前我國(guó)芯片制造能力與國(guó)際先進(jìn)水平仍有差距,半導(dǎo)體領(lǐng)域用掩膜版行業(yè)的中高端市場(chǎng)仍主要由國(guó)外掩膜版廠商占據(jù),國(guó)內(nèi)的掩膜版廠商的技術(shù)能力主要集中在芯片封測(cè)用掩膜版以及100nm節(jié)點(diǎn)以上的晶圓制造用掩膜版,與國(guó)際領(lǐng)先企業(yè)有著較為明顯的差距。

b3673778-caa8-11ed-bfe3-dac502259ad0.png

光掩模版原材料:

在光掩模制造成本中,直接材料占比達(dá) 67%,包括掩膜版基板、保護(hù)膜和其他輔助材料,而掩膜版基板又占直接材料的比重超過 90%,是最重要的生產(chǎn)材料,會(huì)直接影響最終產(chǎn)品質(zhì)量。

b37d2d44-caa8-11ed-bfe3-dac502259ad0.png

被用來制作光掩?;娴牟AОê铣墒?、硼硅玻璃和蘇打玻璃,其中合成石英最為化學(xué)穩(wěn)定,具有高硬度、低膨脹系數(shù)和透光性強(qiáng)等優(yōu)勢(shì),適用于較高精度要求的產(chǎn)品生產(chǎn),廣泛應(yīng)用于 LSI 用光掩模、FPD 用大型掩膜的制造。

b3998192-caa8-11ed-bfe3-dac502259ad0.png

目前,光掩模版上游原材料廠商主要集中在日本和韓國(guó),主要被日本信越化學(xué)、尼康、東曹和韓國(guó)KTG、Samsung C&T等壟斷,遮光材料主要依賴日本信越化學(xué)、旭化成等。國(guó)內(nèi)有數(shù)家企業(yè)有能力生產(chǎn),但主要集中在中小尺寸,產(chǎn)品應(yīng)用行業(yè)也多在 TP、PCB 等低端行業(yè),對(duì)于半導(dǎo)體用高精度及高世代面板用基材,基本被日韓壟斷。

石英掩模版基材國(guó)內(nèi)公司:菲力華

b3b0011a-caa8-11ed-bfe3-dac502259ad0.png

CMP拋光液:

CMP拋光液是研磨材料和化學(xué)添加劑的混合物,可使晶圓表面產(chǎn)生一層氧化膜,再由拋光液中的磨粒去除,達(dá)到拋光的目的。

b3bf81b2-caa8-11ed-bfe3-dac502259ad0.png

CMP拋光液競(jìng)爭(zhēng)格局:

拋光液當(dāng)前的全球主流供應(yīng)商為卡博特(Cabot)、日立(Hitachi)、FUJIMI、慧瞻材料(Versum)等,壟斷全球近65%的市場(chǎng)份額,根據(jù)Cabot數(shù)據(jù)統(tǒng)計(jì),2020年龍頭企業(yè)Cabot拋光液全球市場(chǎng)占有率達(dá)36%,在中國(guó)市場(chǎng)內(nèi)占比達(dá)39%,國(guó)內(nèi)代表企業(yè)安集科技在國(guó)內(nèi)市場(chǎng)中占13%份額,其余48%為其他海外企業(yè)占據(jù)。而當(dāng)前的國(guó)內(nèi)晶圓廠需求除了安集科技以外,主要依賴進(jìn)口。

安集科技目前化學(xué)機(jī)械拋光液已在130-28nm技術(shù)節(jié)點(diǎn)實(shí)現(xiàn)規(guī)?;N售,14nm技術(shù)節(jié)點(diǎn)產(chǎn)品已進(jìn)入客戶認(rèn)證階段,10-7nm技術(shù)節(jié)點(diǎn)產(chǎn)品正在研發(fā)中。

b3cfa5ba-caa8-11ed-bfe3-dac502259ad0.png

安集科技年產(chǎn)16000噸。

b3e856c8-caa8-11ed-bfe3-dac502259ad0.png

鼎龍股份年產(chǎn)5000噸,原材料自主可控。

b40466e2-caa8-11ed-bfe3-dac502259ad0.png

拋光液原材料:

拋光液組分復(fù)雜,由氧化劑、磨粒、絡(luò)合劑、表面活性劑、緩蝕劑、pH調(diào)節(jié)劑及pH緩沖劑按照一定比例配置而成。

b425c382-caa8-11ed-bfe3-dac502259ad0.png

磨粒在拋光液原材料中成本占比最高。根據(jù)安集科技招股說明書,磨粒約占拋光液原材料成本的50%-70%。目前卡博特、Versum、Entegris、安集科技等拋光液企業(yè)主要向第三方采購(gòu)核心磨粒材料,其中安集科技磨粒主要來自日本廠商。

b4553aea-caa8-11ed-bfe3-dac502259ad0.png

二氧化硅磨粒是當(dāng)前市場(chǎng)使用最廣泛的產(chǎn)品,核心技術(shù)被日產(chǎn)化學(xué)、阿克蘇諾貝爾公司等海外巨頭壟斷。國(guó)內(nèi)企業(yè)上海新安納具備IC拋光液磨粒生產(chǎn)能力,擁有IC硅溶膠產(chǎn)能6300噸,中芯國(guó)際認(rèn)證該磨粒制成的拋光液可滿足12英寸40納米相變存儲(chǔ)器GST拋光需求,客戶包括扶?;?、安集科技等拋光液企業(yè)。

鼎龍股份目前實(shí)現(xiàn)了超純硅溶膠,水玻璃硅溶膠、氧化鋁三類研磨粒子的自主制備。

b4672aa2-caa8-11ed-bfe3-dac502259ad0.png

CMP拋光墊:

拋光墊是一種具有一定彈性且疏松多孔的材料,在CMP過程中直接與晶片接觸產(chǎn)生摩擦,以機(jī)械方式去除拋光層。拋光墊的性質(zhì)直接影響晶圓的表面質(zhì)量,是關(guān)系到平坦化效果的直接因素之一,目前使用最多的是由緩沖層和拋光層組成的復(fù)合型拋光墊。

b47cc3a8-caa8-11ed-bfe3-dac502259ad0.png

拋光墊競(jìng)爭(zhēng)格局:

陶氏化學(xué)占據(jù)了全球拋光墊市79%的市場(chǎng)份額,陶氏的20英寸拋光墊占據(jù)了85%的市場(chǎng)份額,30英寸的市占率則更高。此外Cabot、ThomasWest、FOJIBO分別占居5%、4%、2%,日本廠商JSR占據(jù)1%。國(guó)內(nèi)方面,鼎龍股份掌握了拋光墊全流程核心研發(fā)和制造技術(shù),已通過下游部分客戶認(rèn)證。

b49a45cc-caa8-11ed-bfe3-dac502259ad0.png

以中芯國(guó)際等公司公告來計(jì)算,目前國(guó)內(nèi)12寸硅片需要的拋光墊的量大約為40萬片。目前鼎龍?jiān)趪?guó)內(nèi)進(jìn)展迅速,月產(chǎn)能約2萬片/月,市占率約為50%。

拋光墊上游原材料:

拋光墊上游材料為聚氨酯、無紡布等基礎(chǔ)化工產(chǎn)品,其中高質(zhì)量聚氨酯是生產(chǎn)拋光墊的技術(shù)難點(diǎn),拋光墊廠商通常外購(gòu)聚氨酯彈性體原材料。

b4af5386-caa8-11ed-bfe3-dac502259ad0.png

濕電子化學(xué)品:

濕電子化學(xué)品,指主體成分純度大于99.99%的化學(xué)試劑,一般要求控制化學(xué)試劑中顆粒粒徑低于0.5μm,雜質(zhì)含量低于ppm級(jí),其純度和潔凈度將直接影響電子元器件的成品率、電性能和可靠性。電子濕化學(xué)品伴隨集成電路的整個(gè)制作過程,涉及到多個(gè)制造工藝環(huán)節(jié)。

b4c19276-caa8-11ed-bfe3-dac502259ad0.png

濕電子化學(xué)品主要應(yīng)用于半導(dǎo)體市場(chǎng)、光伏市場(chǎng)和平板顯示器市場(chǎng)。

b4f41f2a-caa8-11ed-bfe3-dac502259ad0.png

2021年國(guó)內(nèi)濕電子化學(xué)品產(chǎn)量達(dá)64.35萬噸,同比增長(zhǎng)17.68%。2021年國(guó)內(nèi)濕電子化學(xué)品需求超102.41萬噸,同比增長(zhǎng)23.6%。

b50c3c22-caa8-11ed-bfe3-dac502259ad0.png

濕電子化學(xué)品競(jìng)爭(zhēng)格局:

目前歐美傳統(tǒng)老牌企業(yè)市場(chǎng)份額約為31%,日本企業(yè)市場(chǎng)份額約為29%,韓國(guó)、中國(guó)大陸及中國(guó)臺(tái)灣地區(qū)的市場(chǎng)份額合計(jì)約為39%。

目前,國(guó)內(nèi)電子濕化學(xué)品產(chǎn)業(yè)整體呈現(xiàn)出結(jié)構(gòu)性的發(fā)展不均衡,高端產(chǎn)品仍存在不足。集成電路領(lǐng)域,2020年集成電路工藝用電子濕化學(xué)品整體國(guó)產(chǎn)化率23%,8英寸及以上晶圓制造用電子濕化學(xué)品國(guó)產(chǎn)化率不足20%,國(guó)內(nèi)企業(yè)產(chǎn)品供應(yīng)主要集中在6英寸及以下晶圓制造及封裝領(lǐng)域。

b51ede72-caa8-11ed-bfe3-dac502259ad0.png

中國(guó)大陸市場(chǎng)集中度較低,濕電子化學(xué)品生產(chǎn)企業(yè)共有40余家,具有規(guī)?;钠髽I(yè)有30余家,各公司產(chǎn)量較小。

國(guó)內(nèi)濕電子化學(xué)品生產(chǎn)企業(yè)主要有3類:

(1)濕電子化學(xué)品專業(yè)供應(yīng)商,產(chǎn)品種類豐富且毛利率高,主要企業(yè)代表為江化微、格林達(dá)等;

(2)電子材料平臺(tái)型企業(yè),以泛半導(dǎo)體業(yè)務(wù)為主,具有客戶優(yōu)勢(shì),主要代表企業(yè)包括晶瑞電材和飛凱材料等;

(3)大化工企業(yè),濕電子化學(xué)品種類較少,具有產(chǎn)業(yè)鏈協(xié)同優(yōu)勢(shì),原料成本方面占優(yōu)。主要代表企業(yè)包括巨化股份和濱化股份。

靶材:

靶材在半導(dǎo)體生產(chǎn)中主要應(yīng)用于晶圓制造和芯片封裝環(huán)節(jié)。靶材在晶圓制造環(huán)節(jié)主要被用作金屬濺鍍,常采用 PVD 工藝進(jìn)行鍍膜,通常使用純度在 99.9995%(5N5)及以上的銅靶、鋁靶、鉭靶、鈦靶以及部分合金靶等;靶材在芯片封裝環(huán)節(jié)常用作貼片焊線的鍍膜,常采用高純及超高純金屬銅靶、鋁靶、鉭靶等。

b538c8c8-caa8-11ed-bfe3-dac502259ad0.png

靶材競(jìng)爭(zhēng)格局:

日礦金屬、東曹公司以及美國(guó)的霍尼韋爾、普萊克斯公司,四家靶材制造國(guó)際巨頭,占據(jù)了全球半導(dǎo)體芯片用靶材市場(chǎng)約90%的份額。

我國(guó)半導(dǎo)體用銅、鋁、鈦等靶材已實(shí)現(xiàn)定點(diǎn)突破,江豐電子(鋁靶、鈦靶、鉭靶)、有研新材(銅靶、鈷靶)是國(guó)內(nèi)半導(dǎo)體用濺射靶材的龍頭企業(yè)。

b54ee072-caa8-11ed-bfe3-dac502259ad0.png

江豐電子現(xiàn)有產(chǎn)能:

目前擁有半導(dǎo)體或平板顯示用高純鋁靶材 36920 塊、高純鈦靶材11895塊、高純銅靶材1000塊、高純鎢靶材500塊、高純鈷靶材1000塊,高純鉭靶材4614塊。

有研新材現(xiàn)有產(chǎn)能:目前擁有約 2 萬噸半導(dǎo)體產(chǎn)能。

b58ee6cc-caa8-11ed-bfe3-dac502259ad0.png

靶材原材料:

目前國(guó)內(nèi)濺射靶材的高純金屬原料多數(shù)依靠日美進(jìn)口。但部分企業(yè)在部分金屬提純方面已取得了重大突破。

b5ae6c68-caa8-11ed-bfe3-dac502259ad0.png

b5c8f826-caa8-11ed-bfe3-dac502259ad0.png

b5eabab0-caa8-11ed-bfe3-dac502259ad0.png

b607289e-caa8-11ed-bfe3-dac502259ad0.png

封裝基板:

封裝基板不僅為芯片提供支撐、散熱和保護(hù)作用,同時(shí)為芯片與PCB母板之間提供電子連接,起著“承上啟下”的作用;甚至可埋入無源、有源器件以實(shí)現(xiàn)一定系統(tǒng)功能。封裝基板在HDI板的基礎(chǔ)上發(fā)展而來,是適應(yīng)電子封裝技術(shù)快速發(fā)展而向高端技術(shù)的延伸,作為一種高端的PCB,封裝基板具有高密度、高精度、高性能、小型化及薄型化等特點(diǎn)。

b62e711a-caa8-11ed-bfe3-dac502259ad0.png

封裝基板細(xì)分工藝對(duì)應(yīng)不同的產(chǎn)品,主要可分為三個(gè)等級(jí)。入門級(jí)產(chǎn)品包括CSP、PBGA,用于芯片組、DRAM、Flash產(chǎn)品;一般類包括一般 FCCSP和FCBGA(非 CPU類),可用于通信芯片組、SiP封裝模組;高端類包括復(fù)雜FCBGA(CPU類)產(chǎn)品,可用于CPU、GPU等產(chǎn)品。

CSP與FCCSP采用BT樹脂材料,產(chǎn)品層數(shù)較低,主要應(yīng)用于手機(jī)及可穿戴設(shè)備的應(yīng)用處理器、存儲(chǔ)等領(lǐng)域;FCBGA封裝基板保留玻纖布預(yù)浸BT樹脂(主要由日本三菱瓦斯與日立化成供應(yīng))作為核心,每層用疊構(gòu)的方式增加層數(shù),加上ABF樹脂(主要由日本味之素供應(yīng))作為積層介質(zhì)薄膜導(dǎo)入構(gòu)裝制程。

b64d1156-caa8-11ed-bfe3-dac502259ad0.png

封裝基板競(jìng)爭(zhēng)格局:

目前全球封裝基板廠商主要分布在日本、韓國(guó)和中國(guó)臺(tái)灣。

深南電路、興森科技、珠海越亞等內(nèi)資廠商第一梯隊(duì)已初具雛形。深南電路在產(chǎn)能規(guī)模及營(yíng)收體量上位居第一,產(chǎn)品制程能力可達(dá)到一般類封裝基板的水平(即包括一般類 FCCSP、CSP等)。深南電路以MEMS模組類產(chǎn)品向存儲(chǔ)類延伸,產(chǎn)能規(guī)模處于國(guó)內(nèi)第一:珠海越亞產(chǎn)品以射頻類為主,產(chǎn)品均價(jià)相對(duì)較高而產(chǎn)能規(guī)模與興森科技相當(dāng)。產(chǎn)品類型來看,深南電路與興森科技均是國(guó)內(nèi)可量產(chǎn)存儲(chǔ)類封裝基板的廠商。

b67169a2-caa8-11ed-bfe3-dac502259ad0.png

封裝基板上游原材料:

在基板成本結(jié)構(gòu)中,覆銅板占比最高,占比約35%。

b68dda24-caa8-11ed-bfe3-dac502259ad0.png

b69a4660-caa8-11ed-bfe3-dac502259ad0.png

引線框架:

引線框架是一種集成電路芯片載體,并借助于鍵合絲使芯片內(nèi)部電路引出端(鍵合點(diǎn))通過內(nèi)引線實(shí)現(xiàn)與外引線的電氣連接,形成電氣回路的關(guān)鍵結(jié)構(gòu)件。

b6ad1858-caa8-11ed-bfe3-dac502259ad0.png

根據(jù)生產(chǎn)工藝不同,引線框架分為沖壓型和蝕刻型兩種。按照國(guó)際生產(chǎn)經(jīng)驗(yàn),100 腳位以上主要采用蝕刻型生產(chǎn)工藝,100腳位以下主要采用沖壓型生產(chǎn)工藝。

b6c98e3e-caa8-11ed-bfe3-dac502259ad0.png

引線框架競(jìng)爭(zhēng)格局

目前由日本和中國(guó)臺(tái)灣廠商占據(jù)主導(dǎo)地位,日本三井高排名第1,占比12%;中國(guó)臺(tái)灣長(zhǎng)華科技排名第2,占比11%;日本新光電氣排名第3,占比9%;韓國(guó)HDS、中國(guó)臺(tái)灣順德工業(yè)、新加坡ASM、中國(guó)臺(tái)灣界霖科技分列第4-7位,占比分別為8%/7%/7%/4%;中國(guó)大陸康強(qiáng)電子排名第8,占比4%。

中國(guó)大部分廠商以生產(chǎn)沖壓引線框架為主,在更為高端的蝕刻引線框架方面,僅有康強(qiáng)電子、華洋科技、新恒匯、立德半導(dǎo)體、芯恒創(chuàng)半導(dǎo)體等少數(shù)廠商可以生產(chǎn),與外資廠商相比產(chǎn)能也有所不足,目前中國(guó)蝕刻引線框架主要從日韓等進(jìn)口,自給率較低。

康強(qiáng)電子蝕刻引線框架月產(chǎn)能300萬條,引線框架21年生產(chǎn)量1700億只,鍵和絲1900千克。

b6e1c828-caa8-11ed-bfe3-dac502259ad0.png

引線框架上游原材料:

引線框架上游原材料成本占比中,銅帶占46%,化學(xué)材料占27%,白銀占2%,銅帶是引線框架最重要的上游原材料。

b6f58ffc-caa8-11ed-bfe3-dac502259ad0.png

強(qiáng)度大于600MPa、硬度HV大于130、電導(dǎo)率(IACS)大于80%,可被認(rèn)為是較為理想的引線框架材料。目前國(guó)內(nèi)廠商正努力解決高端銅合金材料國(guó)產(chǎn)化難題。在Cu-Fe-P系(銅鐵磷)和Cu-Ni-Si系(銅鎳硅)中,國(guó)內(nèi)博威合金、寧波興業(yè)等廠商實(shí)現(xiàn)了C19400、C70250牌號(hào)的量產(chǎn)能力,在高端Cu-Cr-Zr系(鉻鋯銅)方面,博威合金已擁有boway 18150/18160/19010/19005型號(hào)產(chǎn)品。

鍵合絲:

鍵合絲是芯片內(nèi)電路輸入輸出連接點(diǎn)與引線框架的內(nèi)接觸點(diǎn)之間實(shí)現(xiàn)電氣連接的微細(xì)金屬絲,直徑為十幾微米到幾十微米。

上游原料主要為黃金、白銀、銅、鋁等金屬。根據(jù)材質(zhì)不同,分為非合金絲和合金絲,非合金絲包括金絲、銀絲、銅絲、鋁絲;合金絲包括鍍金銀線、鍍銅鍵合絲。黃金化學(xué)性能穩(wěn)定、抗氧化、不與酸堿反應(yīng),由黃金制成的鍵合金絲延展性好、導(dǎo)電性能佳、可靠性高, 因此是使用最早、用量最大的一類。但由于黃金價(jià)格成本較高,鍵合銅絲市占率持續(xù)提升。

b70ce940-caa8-11ed-bfe3-dac502259ad0.png

鍵合絲競(jìng)爭(zhēng)格局:

中國(guó)鍵合絲市場(chǎng)仍主要被德國(guó)、韓國(guó)、日本廠商占據(jù),本土廠商產(chǎn)品相對(duì)單一或低端 。根據(jù)CEPEM數(shù)據(jù),德國(guó)賀利氏占比21%,韓國(guó)銘凱益(MKE)占比20%,日本日鐵和田中占比分別為13%和10%。中國(guó)廠商一諾電子是本土產(chǎn)能最大的廠商,占比11%,萬生合金、達(dá)博有色和銘灃科技占比分別為6%、5%和2%,此外康強(qiáng)電子在鍵合金絲、鍵合銅絲 上也有所布局。

b72543a0-caa8-11ed-bfe3-dac502259ad0.png

陶瓷基板:

陶瓷基板作為新興的散熱材料,具有優(yōu)良電絕緣性能,高導(dǎo)熱特性,導(dǎo)熱性與絕緣性都優(yōu)于金屬基板,更適合功率電子產(chǎn)品封裝,已成為大功率電力電子電路結(jié)構(gòu)技術(shù)和互連技術(shù)的基礎(chǔ)材料,廣泛應(yīng)用于LED、汽車電子、航天航空及軍用電子組件、激光等工業(yè)電子領(lǐng)域。

b73820e2-caa8-11ed-bfe3-dac502259ad0.png

根據(jù)陶瓷基板的三維結(jié)構(gòu),可以分為平面陶瓷基板和多層陶瓷基板,LTCC、HTCC屬于是多層陶瓷基板。平面陶瓷基板又可以進(jìn)一步分為薄膜基板、厚膜基板、陶瓷覆銅基板等,其中陶瓷覆銅基板又可以分為DPC(直接鍍銅)、DBC(直接覆銅)、AMB(活性金屬釬焊)和LAM(激光活化金屬)。

b7545528-caa8-11ed-bfe3-dac502259ad0.png

AMB工藝的陶瓷基板熱性能更好、可靠性更高。AMB是在800℃左右的高溫下,含有活性元素 Ti、Zr的AgCu焊料在陶瓷和金屬的界面潤(rùn)濕并反應(yīng),從而實(shí)現(xiàn)陶瓷與金屬異質(zhì)鍵合,是DBC技術(shù)的進(jìn)化。AMB基板市場(chǎng)規(guī)模增長(zhǎng)較快,逐漸成為主流。

b7677568-caa8-11ed-bfe3-dac502259ad0.png

陶瓷基板競(jìng)爭(zhēng)格局:

目前AMB陶瓷基板仍主要依賴進(jìn)口,國(guó)內(nèi)AMB陶瓷基板產(chǎn)能相對(duì)較小。國(guó)外主要廠商有賀利氏、日本Ferrotec、日本DOWA、日本NGK、日本京瓷、羅杰斯,國(guó)內(nèi)廠商AMB產(chǎn)能較大的有富樂華(日本Ferrotec控股)、博敏電子、威斯派爾等。

博敏電子AMB陶瓷襯板目前具備產(chǎn)能8萬張/月,處于國(guó)內(nèi)前列,后續(xù)隨著設(shè)備不斷投入及配合相關(guān)客戶進(jìn)行擴(kuò)產(chǎn),預(yù)計(jì)2023年有望達(dá)到15-20萬張/月的產(chǎn)能規(guī)模。

b78a103c-caa8-11ed-bfe3-dac502259ad0.png

b79cede2-caa8-11ed-bfe3-dac502259ad0.png

芯片粘接材料:

芯片粘結(jié)材料是采用粘結(jié)技術(shù)實(shí)現(xiàn)芯片與底座或封裝基板連接的材料,在物理化學(xué)性能上要滿足機(jī)械強(qiáng)度高、化學(xué)性能穩(wěn)定、導(dǎo)電導(dǎo)熱、低固化溫度和可操作性強(qiáng)的要求。主要包括芯片粘接膠水(DAP)、非導(dǎo)電芯片粘接薄膜(DAF)以及導(dǎo)電芯片粘接薄膜(CDAF) 等,其中DAP技術(shù)門檻相對(duì)較低,DAF技術(shù)門檻相對(duì)較高,CDAF技術(shù)門檻要求最高。

b7b3e4a2-caa8-11ed-bfe3-dac502259ad0.png

芯片粘接材料競(jìng)爭(zhēng)格局:

2019年全球芯片粘接材料市場(chǎng)規(guī)模約8.7億美元,德國(guó)日本廠商占據(jù)主導(dǎo)地位。根據(jù)CEPEM數(shù)據(jù),2019年中國(guó)半導(dǎo)體芯片粘接材料主要供應(yīng)商同樣以德國(guó)日本廠商為主。

德邦科技的芯片固晶導(dǎo)電膠等芯片固晶材料產(chǎn)品,覆蓋 MOS、QFN、QFP、BGA 和存儲(chǔ)器等多種封裝形式,已通過通富微電、華天科技、長(zhǎng)電科技等國(guó)內(nèi)多家知名集成電路封測(cè)企業(yè)驗(yàn)證測(cè)試,并實(shí)現(xiàn)批量供貨。除公司外,國(guó)內(nèi)供應(yīng)商僅有長(zhǎng)春永固實(shí)現(xiàn)產(chǎn)品供貨。

b7e10c8e-caa8-11ed-bfe3-dac502259ad0.png

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26321

    瀏覽量

    209986
  • 半導(dǎo)體材料
    +關(guān)注

    關(guān)注

    11

    文章

    493

    瀏覽量

    29370
  • 晶圓制造
    +關(guān)注

    關(guān)注

    6

    文章

    265

    瀏覽量

    23855

原文標(biāo)題:被卡脖子的半導(dǎo)體材料(萬字深度報(bào)告)

文章出處:【微信號(hào):光刻人的世界,微信公眾號(hào):光刻人的世界】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    《科技日?qǐng)?bào)》頭版報(bào)道國(guó)產(chǎn)超導(dǎo)量子計(jì)算機(jī)“卡脖子”關(guān)鍵設(shè)備技術(shù)再升級(jí)

    《科技日?qǐng)?bào)》頭版報(bào)道國(guó)產(chǎn)超導(dǎo)量子計(jì)算機(jī)“卡脖子”關(guān)鍵設(shè)備技術(shù)再升級(jí)
    的頭像 發(fā)表于 06-13 08:22 ?276次閱讀
    《科技日?qǐng)?bào)》頭版報(bào)道國(guó)產(chǎn)超導(dǎo)量子計(jì)算機(jī)“<b class='flag-5'>卡脖子</b>”關(guān)鍵設(shè)備技術(shù)再升級(jí)

    阿里通義千問重磅升級(jí),免費(fèi)開放1000萬字長(zhǎng)文檔處理功能

    近日,阿里巴巴旗下的人工智能應(yīng)用通義千問迎來重磅升級(jí),宣布向所有人免費(fèi)開放1000萬字的長(zhǎng)文檔處理功能,這一創(chuàng)新舉措使得通義千問成為全球文檔處理容量第一的AI應(yīng)用。
    的頭像 發(fā)表于 03-26 11:09 ?623次閱讀

    國(guó)產(chǎn)深海1米六維力傳感器引領(lǐng)卡脖子技術(shù)革新

    國(guó)產(chǎn)深海米六維力傳感器引領(lǐng)卡脖子技術(shù)革新
    的頭像 發(fā)表于 02-20 16:09 ?512次閱讀

    半導(dǎo)體材料是什么 半導(dǎo)體材料是硅還是二氧化硅

    半導(dǎo)體材料是一種電子能級(jí)介于導(dǎo)體材料和絕緣體材料之間的材料,在固體物質(zhì)中具有特殊的電導(dǎo)特性。在
    的頭像 發(fā)表于 02-04 09:46 ?3812次閱讀

    半導(dǎo)體襯底材料的選擇

    電子科技領(lǐng)域中,半導(dǎo)體襯底作為基礎(chǔ)材料,承載著整個(gè)電路的運(yùn)行。隨著技術(shù)的不斷發(fā)展,對(duì)半導(dǎo)體襯底材料的選擇和應(yīng)用要求也越來越高。本文將為您詳細(xì)介紹半導(dǎo)
    的頭像 發(fā)表于 01-20 10:49 ?1366次閱讀

    半導(dǎo)體材料有哪些 半導(dǎo)體材料是硅還是二氧化硅

    半導(dǎo)體材料是指在溫度較低且電流較小的條件下,電阻率介于導(dǎo)體和絕緣體之間的材料。半導(dǎo)體材料在電子器
    的頭像 發(fā)表于 01-17 15:25 ?2144次閱讀

    如何用AI聊天機(jī)器人寫出萬字長(zhǎng)文

    如何用AI聊天機(jī)器人寫出萬字長(zhǎng)文
    的頭像 發(fā)表于 12-26 16:25 ?944次閱讀

    常見的半導(dǎo)體材料有哪些?具備什么特點(diǎn)?

    特點(diǎn)。半導(dǎo)體材料的導(dǎo)電性介于電導(dǎo)體和絕緣體之間。通常情況下,它是不導(dǎo)電的。但是,當(dāng)外界能量或雜質(zhì)加入時(shí),半導(dǎo)體
    的頭像 發(fā)表于 12-25 14:04 ?1345次閱讀

    什么是PSPI?PSPI相較于非光敏性PI的優(yōu)勢(shì)?

    PSPI(光敏性聚酰亞胺)是一種十分重要的半導(dǎo)體材料,也是一種十分卡脖子材料。
    的頭像 發(fā)表于 12-18 10:17 ?2164次閱讀
    什么是PSPI?PSPI相較于非光敏性PI的優(yōu)勢(shì)?

    半導(dǎo)體材料簡(jiǎn)介 半導(dǎo)體材料的電特性詳解

    自然界的物都有各自獨(dú)特的特性,我們?nèi)祟惸茏龅囊仓皇翘剿鬟@些物體的特性,并利用它為自己服務(wù)。在我們電子領(lǐng)域,根據(jù)物體的導(dǎo)電特性,通常可以分為:導(dǎo)體,絕緣體,以及處于導(dǎo)體和絕緣體之間的半導(dǎo)體
    的頭像 發(fā)表于 12-06 10:12 ?1765次閱讀
    <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>材料</b>簡(jiǎn)介 <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>材料</b>的電特性詳解

    淺析現(xiàn)代半導(dǎo)體產(chǎn)業(yè)中常用的半導(dǎo)體材料

    半導(dǎo)體材料半導(dǎo)體產(chǎn)業(yè)的核心,它是制造電子和計(jì)算機(jī)芯片的基礎(chǔ)。半導(dǎo)體材料的種類繁多,不同的材料
    發(fā)表于 11-29 10:22 ?1015次閱讀
    淺析現(xiàn)代<b class='flag-5'>半導(dǎo)體</b>產(chǎn)業(yè)中常用的<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>材料</b>

    半導(dǎo)體材料檢測(cè)有哪些種類?測(cè)試半導(dǎo)體材料有哪些方法?

    半導(dǎo)體材料是制作半導(dǎo)體器件與集成電路的基礎(chǔ)電子材料。隨著技術(shù)的發(fā)展以及市場(chǎng)要求的不斷提高,對(duì)于半導(dǎo)體材料
    的頭像 發(fā)表于 11-10 16:02 ?1588次閱讀

    匯芯半導(dǎo)體突破功率半導(dǎo)體芯片“卡脖子”技術(shù)

    站在半導(dǎo)體產(chǎn)業(yè)的時(shí)代風(fēng)口,來自佛山的科創(chuàng)力量正在崛起,力合科創(chuàng)(佛山)科技園投資企業(yè)——廣東匯芯半導(dǎo)體有限公司(下稱“匯芯半導(dǎo)體”)就是其中一個(gè)代表。
    的頭像 發(fā)表于 11-10 09:58 ?820次閱讀

    半導(dǎo)體材料特性介紹

    半導(dǎo)體材料具有一些與我們已知的導(dǎo)體、絕緣體完全不同的電學(xué)、化學(xué)和物理特性,正是由于這些特點(diǎn),使得半導(dǎo)體器件和電路具有獨(dú)特的功能。在接下來的半導(dǎo)體
    的頭像 發(fā)表于 11-03 10:24 ?856次閱讀
    <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>材料</b>特性介紹