0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Aurora IP建立仿真及測試

FPGA之家 ? 來源:FPGA之家 ? 2023-03-30 09:28 ? 次閱讀

由于項(xiàng)目需要,小編使用Aurora核對數(shù)據(jù)進(jìn)行光纖傳輸,這篇文章主要介紹如何生成Aurora核、仿真上板測試。

一、Aurora IP建立

第一步

80d7b1e6-ce97-11ed-bfe3-dac502259ad0.jpg

1》lane Width:用戶數(shù)據(jù)接口 4(32bit) 2(16bit)

2》Line Rate:3Gbps 假設(shè)圖像數(shù)據(jù)為1280x1024 @60 RGB(24bit),那么 1280 pixels/row x 1024 rows x 8bits/element gray scale x 3 elements/pixel x60 Hz refresh x 1.25 8b/10b x 1.05 (typical FC-AV protocol overhead) is approximately equal to 2.5 Gbps.

3》GT Refclk:200(此處查看板子原理圖即可)

4》INIT clk:100MHz

5》DRP clk:100MHz

上述兩個(gè)時(shí)鐘在參考范圍內(nèi)設(shè)置相同即可,本頁其他選項(xiàng)如圖設(shè)置即可 。

第二步

80fefa08-ce97-11ed-bfe3-dac502259ad0.jpg

選擇高速串行通道的位置,默認(rèn)即可 。

第三步

811bf61c-ce97-11ed-bfe3-dac502259ad0.jpg

默認(rèn)即可。

二、仿真測試

在Vivado軟件中,我們生成好IP后可以可以打開帶有例子的工程,進(jìn)行仿真查看LANE_UP和CHANNEL_UP信號拉高后,即可認(rèn)為光纖通道初始化成功,在對其數(shù)據(jù)接口進(jìn)行查看,官方給的例程主要分為三大塊,數(shù)據(jù)產(chǎn)生模塊、光纖傳輸模塊、數(shù)據(jù)檢查模塊 。

三、上板測試(光纖初始化)

我們需要對INIT_CLK_P、INIT_CLK_N、DRP_CLK、GT_RESET_IN、RESET四個(gè)信號進(jìn)行修改。

第一步

INIT_CLK_P、INIT_CLK_N:我們利用板子的單端輸入時(shí)鐘27M進(jìn)行pll倍頻出的100M提供給INIT_CLK_IN。

將aurora_8b10b_0_exdes文件做如下修改

813152d2-ce97-11ed-bfe3-dac502259ad0.jpg

將aurora_8b10b_0_support文件做如下修改

814cfdc0-ce97-11ed-bfe3-dac502259ad0.jpg

將aurora_8b10b_0_CLOCK_MODULE文件做如下修改

8173af24-ce97-11ed-bfe3-dac502259ad0.jpg

第二步

DRP_CLK:將倍頻出的100M時(shí)鐘輸入給DRP_CLK即可。

第三步

GT_RESET_IN、RESET:如果板子上有硬復(fù)位(低有效),對其取反賦給其即可,在頂層aurora_8b10b_0_exdes中修改。

assign RESET = ~sys_rst_n;

assign GT_RESET_IN = ~sys_rst_n;

第四步

如果我們板子上有指示燈,我們可以通過指示燈來查看是否初始化成功。在頂層aurora_8b10b_0_exdes中修改。

assign CHANNEL_UP_led = ~CHANNEL_UP;

assign LANE_UP_led = ~LANE_UP;

我們的指示燈是低電平亮,所以對其取反,上板燒寫例程代碼后可以看到兩個(gè)指示燈點(diǎn)亮,我們就可以認(rèn)為此時(shí)光纖核初始化成功。

學(xué)會使用Aurora核之后,那么我們就需要利用相關(guān)協(xié)議進(jìn)行傳輸,小編在本次項(xiàng)目中使用ARINC818協(xié)議

對數(shù)據(jù)進(jìn)行封裝,然后過光纖進(jìn)行傳輸,最后回傳到sdi芯片進(jìn)行顯示,開發(fā)者可根據(jù)項(xiàng)目需要選擇協(xié)議對數(shù)據(jù)進(jìn)行傳輸。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3971

    瀏覽量

    132958
  • 光纖通道
    +關(guān)注

    關(guān)注

    0

    文章

    222

    瀏覽量

    14071
收藏 人收藏

    評論

    相關(guān)推薦

    RF Data Converter IP設(shè)計(jì)仿真測試激勵(lì)文件原理

    這次我們將演示 RF Data Converter IP 設(shè)計(jì)仿真測試激勵(lì)文件示例。 本篇博文旨在演示其構(gòu)建方式及其用于實(shí)踐 IP 的機(jī)制。我覺得這部分內(nèi)容值得講一講,因?yàn)橹灰軌虺?/div>
    的頭像 發(fā)表于 11-12 16:41 ?3625次閱讀
    RF Data Converter <b class='flag-5'>IP</b>設(shè)計(jì)<b class='flag-5'>仿真</b><b class='flag-5'>測試</b>激勵(lì)文件原理

    如何仿真IP核(建立modelsim仿真庫完整解析)

    如何仿真IP核(建立modelsim仿真庫完整解析)
    發(fā)表于 08-15 13:16

    aurora使用問題

    最近使用V6130T和75T鏈接,aurora8B10BIP核,ISE14.5.上電以后可以channelup正常,一旦FPGA別的部分開始工作,電流變大了就發(fā)現(xiàn)數(shù)據(jù)出錯(cuò),有softerror。改變
    發(fā)表于 03-06 10:52

    Aurora 8b/10b IP核問題

    小弟最近在調(diào)用Aurora 8b/10b IP模塊時(shí),在用modelsim功能仿真時(shí),一切正常。 但是直接使用了例化后的example,并將Tx和Rx形成了回路下到FPGA板子上
    發(fā)表于 03-09 10:58

    GTY 100G Aurora IP的一些問題

    Vivado:2016.4FPGA:xcvu190Hello,我在兩個(gè)xcvu190平臺之間遇到Aurora 64B66B IP(v11.1)的一些問題。使用x4 GTY通道將IP配置為全雙工,成幀
    發(fā)表于 09-28 11:29

    Aurora IP 8b10b如何生成bitfile?

    我開發(fā)了一個(gè)應(yīng)用程序,包括Userapp,Aurora IP 8b10b v8.3,兩個(gè)FIFO(Tx和Rx)和sram模塊。我使用ISim模擬了總應(yīng)用程序。我得到了所需的結(jié)果?,F(xiàn)在,我的疑問
    發(fā)表于 03-30 08:49

    用于26Km光纜的Aurora IP v11.0通道上行/上行故障的解決辦法?

    大家好,Vivado版本:15.2FPGA:Artix 7 xc7a50tAurora 8b / 10b IP v11.0(Rev 1) - 使用VHDL創(chuàng)建車道:1我最近一直面臨著Aurora
    發(fā)表于 08-06 09:34

    如何使用Aurora 8B / 10B建立僅傳輸?

    你好,我正在嘗試使用Aurora 8B / 10B建立僅傳輸(流媒體)?,F(xiàn)在使用Vivado 2014.4進(jìn)行模擬階段。我知道GTXE2_COMMON原語需要在設(shè)計(jì)中使用以包含一個(gè)QUAD PLL
    發(fā)表于 08-14 08:49

    怎么禁用Aurora IP Core 8B / 10B中的時(shí)鐘補(bǔ)償功能?

    大家好,我使用的是Vivado 2016.4和Virtex 7 XC7VX485T FPGA。我需要知道是否有一個(gè)選項(xiàng)可以禁用Aurora IP Core 8B / 10B中的時(shí)鐘補(bǔ)償功能。我可以看到IP核心文件,但它們都是只讀
    發(fā)表于 08-18 09:43

    40Gbs交換IP軟核驗(yàn)證和測試

    研究40Gb/s 交換IP 軟核的驗(yàn)證和測試方法。通過建立SDH 芯片驗(yàn)證平臺和SDH 芯片測試平臺, 實(shí)現(xiàn)IP 軟核的功能
    發(fā)表于 11-27 14:30 ?6次下載

    一種新的IP仿真測試平臺的實(shí)現(xiàn)

    針對傳統(tǒng)的IP 測試方法存在的問題和缺陷,本文提出了個(gè)人PCI 機(jī)進(jìn)行聯(lián)機(jī)測試IP 驗(yàn)證方法。該方法將IP
    發(fā)表于 12-14 11:34 ?3次下載

    Aurora OS是個(gè)什么來頭?

    Aurora系統(tǒng)被關(guān)注,源于2019年6月,國外媒體注意到華為在自家產(chǎn)品測試Aurora系統(tǒng)的消息。在國外媒體更早關(guān)于該系統(tǒng)的報(bào)道中,他們發(fā)現(xiàn)開發(fā)Auroa系統(tǒng)的公司早在2015年就被俄羅斯巨商收入麾下。
    的頭像 發(fā)表于 08-29 14:37 ?4463次閱讀

    Xilinx平臺Aurora IP介紹(三)Aurora配置及接口

    開門見山的說,跟DDR/PCIE/GTX這種復(fù)雜的IP相比,Aurora配置那是相當(dāng)?shù)暮唵?。走著?/div>
    的頭像 發(fā)表于 02-19 18:52 ?8153次閱讀
    Xilinx平臺<b class='flag-5'>Aurora</b> <b class='flag-5'>IP</b>介紹(三)<b class='flag-5'>Aurora</b>配置及接口

    Xilinx平臺Aurora IP介紹(一)Aurora基礎(chǔ)知識

    Aurora 是一個(gè)用于在點(diǎn)對點(diǎn)串行鏈路間移動數(shù)據(jù)的可擴(kuò)展輕量級鏈路層協(xié)議。這為物理層提供透明接口,讓專有協(xié)議或業(yè)界標(biāo)準(zhǔn)協(xié)議上層能方便地使用高速收發(fā)器。雖然使用的邏輯資源非常少,但 Aurora
    的頭像 發(fā)表于 02-19 18:21 ?6816次閱讀
    Xilinx平臺<b class='flag-5'>Aurora</b> <b class='flag-5'>IP</b>介紹(一)<b class='flag-5'>Aurora</b>基礎(chǔ)知識

    IP仿真的實(shí)體或塊級別是如何完成的

    。這里參照的模型主要用于對系統(tǒng)功能進(jìn)行驗(yàn)證以及和RTL模型的對照驗(yàn)證,該模型主要用Verilog HDL等語言來構(gòu)造。測試平臺的建立與子模塊設(shè)計(jì)并行,搭建驗(yàn)證環(huán)境和開發(fā)測試用例,并針對IP
    的頭像 發(fā)表于 06-13 15:54 ?1096次閱讀