0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

verilog無(wú)法直接調(diào)用vhdl的ngc文件咋辦?

FPGA通信小白成長(zhǎng)之路 ? 來(lái)源:FPGA通信小白成長(zhǎng)之路 ? 2023-03-30 10:37 ? 次閱讀

在vivado中的Settimg中,Target language可以選擇verilog,也可以選擇vhdl,該選項(xiàng)意味著在生成新的文件或ip時(shí),默認(rèn)的語(yǔ)言是什么,但實(shí)際上在使用時(shí)可以混用verilog和vhdl,兩種語(yǔ)言的文件和模塊可以相互調(diào)用,相互嵌套,只要保證在例化的時(shí)候接口一致就行

但最近在檢查一個(gè)老項(xiàng)目時(shí)發(fā)現(xiàn)一個(gè)相互調(diào)用的問(wèn)題。個(gè)別老的項(xiàng)目在項(xiàng)目開(kāi)發(fā)完并且性能穩(wěn)定后,為了保證移植的便利性,將算法模塊進(jìn)行封裝,變成.ngc文件,該做法也可以防止其他人對(duì)里面的代碼進(jìn)行更改,也對(duì)算法是一種保護(hù)。

但最近我發(fā)現(xiàn)某算法模塊是用vhd開(kāi)發(fā)并封裝的,使用verilog例化該算法模塊并調(diào)用該ngc文件時(shí),會(huì)報(bào)錯(cuò),verilog無(wú)法直接調(diào)用vhdl的ngc文件。

后來(lái)想到一個(gè)方法

在中間再嵌套一級(jí)vhdl模塊進(jìn)行透?jìng)鳌>唧w做法即新建一個(gè)vhdl模塊,例化ngc模塊并進(jìn)行調(diào)用,然后再使用verilog模塊對(duì)新的vhd模塊進(jìn)行例化,間接對(duì)ngc進(jìn)行調(diào)用,該方法親測(cè)可行。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109749
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65893

原文標(biāo)題:verilog不能直接調(diào)用vhdl的ngc文件的解決辦法

文章出處:【微信號(hào):FPGA通信小白成長(zhǎng)之路,微信公眾號(hào):FPGA通信小白成長(zhǎng)之路】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    例說(shuō)Verilog HDL和VHDL區(qū)別

    VerilogVHDL之間的區(qū)別將在本文中通過(guò)示例進(jìn)行詳細(xì)說(shuō)明。對(duì)優(yōu)點(diǎn)和缺點(diǎn)的VerilogVHDL進(jìn)行了討論。
    的頭像 發(fā)表于 12-20 09:03 ?2389次閱讀
    例說(shuō)<b class='flag-5'>Verilog</b> HDL和<b class='flag-5'>VHDL</b>區(qū)別

    VHDL調(diào)用verilog時(shí)遇到一個(gè)神奇的問(wèn)題

    工程中使用到了一個(gè)verilog寫(xiě)的模塊,我一直用VHDL,把它調(diào)用進(jìn)來(lái),編譯,仿真都可以跑,就送仿真結(jié)果不對(duì)。單獨(dú)用VHDL編寫(xiě)一個(gè)仿真程序來(lái)測(cè)試,結(jié)果又是對(duì)的,仔細(xì)檢查功能,仍找不
    發(fā)表于 01-14 14:15

    verilog VHDL文件問(wèn)題

    1.我想使用Verilog寫(xiě)一個(gè)讀文件的模塊,接口規(guī)劃如下:module read_file_v #(parameter SIZE= 8)(input clk,input resetn,input
    發(fā)表于 01-14 22:31

    verilog調(diào)用VHDL模塊

    郁悶了,表示只看過(guò)VHDL語(yǔ)法但沒(méi)寫(xiě)過(guò)。暫且不說(shuō)VHDL模塊的內(nèi)容,我應(yīng)該如何在測(cè)試平臺(tái)中例化它并對(duì)它進(jìn)行測(cè)試呢?稍微查了一下,其實(shí)很簡(jiǎn)單,只要把VHDL中的組件名、端口統(tǒng)統(tǒng)拿出來(lái),按照ver
    發(fā)表于 07-03 12:58

    verilog調(diào)用VHDL模塊

    郁悶了,表示只看過(guò)VHDL語(yǔ)法但沒(méi)寫(xiě)過(guò)。暫且不說(shuō)VHDL模塊的內(nèi)容,我應(yīng)該如何在測(cè)試平臺(tái)中例化它并對(duì)它進(jìn)行測(cè)試呢?稍微查了一下,其實(shí)很簡(jiǎn)單,只要把VHDL中的組件名、端口統(tǒng)統(tǒng)拿出來(lái),按照ver
    發(fā)表于 07-09 01:14

    使用12.4生成的ngc文件可以與更新的工具集一起使用嗎?

    親愛(ài)的會(huì)員;我目前的設(shè)計(jì)使用microblaze和各種其他vhdl塊(其中一些是ngc文件),我使用Xilinx工具12.4映射到我的SP605開(kāi)發(fā)板。如果我想使用更新版本的Xilinx工具,應(yīng)該
    發(fā)表于 06-10 14:46

    Vivado 2015.2如何生成設(shè)計(jì)的.NGC文件?

    我從Xilinx Vivado 2015.2生成了一個(gè)FIFOIP。但我無(wú)法在Xilinx ISE 14.7中看到合成設(shè)計(jì)所需的.NGC文件。如何生成設(shè)計(jì)的.NGC
    發(fā)表于 04-24 09:40

    VHDLVerilog HDL語(yǔ)言對(duì)比

    VHDLVerilog HDL語(yǔ)言對(duì)比 Verilog HDL和VHDL都是用于邏輯設(shè)計(jì)的硬件描述語(yǔ)言,并且都已成為IEEE標(biāo)準(zhǔn)。VHDL
    發(fā)表于 02-09 09:01 ?1w次閱讀

    VHDL,Verilog,System verilog比較

    本文簡(jiǎn)單討論并總結(jié)了VHDL、Verilog,System verilog 這三中語(yǔ)言的各自特點(diǎn)和區(qū)別 As the number of enhancements
    發(fā)表于 01-17 11:32 ?0次下載

    Verilog HDL語(yǔ)言的文件調(diào)用問(wèn)題:include使用方法介紹

    本文簡(jiǎn)單介紹在使用Verilog HDL語(yǔ)言時(shí)文件調(diào)用問(wèn)題之include使用方法介紹及舉例說(shuō)明,詳見(jiàn)本文...
    發(fā)表于 01-24 14:40 ?6678次閱讀
    <b class='flag-5'>Verilog</b> HDL語(yǔ)言的<b class='flag-5'>文件</b><b class='flag-5'>調(diào)用</b>問(wèn)題:include使用方法介紹

    VHDLVerilog互轉(zhuǎn)的軟件

    VHDLVerilog互轉(zhuǎn)的軟件, X-HDL v4.21 Crack.zip
    發(fā)表于 06-03 16:16 ?10次下載

    Xilinx Sdram 參考設(shè)計(jì):含VerilogVHDL

    Xilinx FPGA工程例子源碼:含VerilogVHDL版本級(jí)詳細(xì)說(shuō)明文檔
    發(fā)表于 06-07 14:54 ?0次下載

    vhdl轉(zhuǎn)換為verilog_VHDLVerilog誰(shuí)更勝一籌

    今天給大家分享一個(gè)VHDLVerilog的工具。很多新手初次學(xué)習(xí)FPGA都曾遇到過(guò)一個(gè)問(wèn)題:是學(xué)Verilog OR VHDL?
    發(fā)表于 08-25 09:22 ?6562次閱讀
    <b class='flag-5'>vhdl</b>轉(zhuǎn)換為<b class='flag-5'>verilog_VHDL</b>和<b class='flag-5'>Verilog</b>誰(shuí)更勝一籌

    探討VHDLVerilog模塊互相調(diào)用的問(wèn)題

    1、 關(guān)于如何在VHDL模塊調(diào)用一個(gè)Verilog模塊 在VHDL模塊聲明一個(gè)要與調(diào)用Verilog
    的頭像 發(fā)表于 04-30 14:06 ?9916次閱讀
    探討<b class='flag-5'>VHDL</b>和<b class='flag-5'>Verilog</b>模塊互相<b class='flag-5'>調(diào)用</b>的問(wèn)題

    VerilogVHDL轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    VerilogVHDL語(yǔ)法是互通且相互對(duì)應(yīng)的,如何查看二者對(duì)同一硬件結(jié)構(gòu)的描述,可以借助EDA工具,如Vivado,打開(kāi)Vivado后它里面的語(yǔ)言模板后,也可以對(duì)比查看VerilogVHD
    的頭像 發(fā)表于 04-28 17:47 ?1673次閱讀
    <b class='flag-5'>Verilog</b>到<b class='flag-5'>VHDL</b>轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)