0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

GBUFCE原語介紹

XL FPGA技術交流 ? 來源:XL科技 ? 作者:XL科技 ? 2023-04-06 11:44 ? 次閱讀

易靈思提供了原語文檔,可以查看每個原語。經(jīng)常有人會問,如果普通的GPIO怎么走全局時鐘網(wǎng)絡,其實很簡單,打開原主文檔,找到EFX_GBUFCE,該部分提供了verilog和VHDL的用法。

EFX_GBUFCE既可以讓GPIO走全局時鐘網(wǎng)絡也可以用于為時鐘添加使能控制,當并不是隨時需要該時鐘時可以把時鐘禁止以節(jié)省功耗。

CE_POLARITY用于指示CE是高有效還是低有效,如果為1則高有效,反之則低有效。

CE是時鐘O的輸出使能。

01c14416-d401-11ed-bfe3-dac502259ad0.png

EFX_GBUFCE # (
   .CE_POLARITY(1'b1)   // 0 active low, 1 active high
) EFX_GBUFCE_inst (
   .O(O),               // Clock output to global clock network
   .I(I),               // Clock input
   .CE(CE)              // Clock gate
);




審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • GPIO
    +關注

    關注

    16

    文章

    1175

    瀏覽量

    51515
  • 時鐘網(wǎng)絡

    關注

    0

    文章

    16

    瀏覽量

    6558
  • VHDL電路
    +關注

    關注

    0

    文章

    4

    瀏覽量

    1395

原文標題:GBUFCE原語

文章出處:【微信號:gh_ea2445df5d2a,微信公眾號:FPGA及視頻處理】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    xilinx中的carry4原語在高云FPGA中用什么原語替代?

    xilinx中的carry4原語在高云FPGA中用什么原語替代
    發(fā)表于 05-09 16:13

    Xilinx中的原語作用是啥啊?

    我看到別人寫的項目 程序中用了很多原語,比如輸入時鐘要設置一個IBUFG,有一些輸出信號接一個OBUFG,那么原語的好處是什么?如何知道什么時候要使用原語!
    發(fā)表于 07-13 19:59

    xilinx原語問題

    `[tr=transparent]BUFMUX原語是2輸入1選擇1輸出,現(xiàn)在我想改成2位位寬的s選擇信號,達到一個四輸入的BUFMUX,請問有什么辦法可以實現(xiàn),如果級聯(lián)?圖片最下面這個控制信號是2位的[/tr]`
    發(fā)表于 03-23 15:18

    如何從Virtex原語切換到Spartan原語?

    親愛的大家,Virtex中的許多原語在Spartan 6中找不到,例如BUFIO,BUFR,IDELAY,IDDR。如何使用Spartan原語實現(xiàn)類似的功能?非常感謝你!箱子以上來自于谷歌翻譯以下
    發(fā)表于 06-03 10:31

    Xilinx原語的使用方法

    Xilinx原語使用方法
    發(fā)表于 02-22 06:55

    Gowin FPGA原語使用手冊

    Gowin FPGA原語使用指南
    發(fā)表于 09-30 06:59

    xilinx原語的使用方法

    xilinx原語的使用,建議有一定經(jīng)驗的參考。
    發(fā)表于 12-17 11:58 ?13次下載

    xilinx 原語使用方法

    xilinx 原語使用方法
    發(fā)表于 10-17 08:57 ?11次下載
    xilinx <b class='flag-5'>原語</b>使用方法

    xilinx原語使用方法

    xilinx原語使用方法
    發(fā)表于 10-19 08:50 ?15次下載
    xilinx<b class='flag-5'>原語</b>使用方法

    Xilinx FPGA常用原語介紹

    項目中主要用到的原語與IO端口有關,所以基本在Input/Output Functions 和IO兩類中。下面著重介紹實際中所用到的幾個原語,芯片A7系列。
    發(fā)表于 01-06 11:23 ?1.6w次閱讀
    Xilinx FPGA常用<b class='flag-5'>原語</b><b class='flag-5'>介紹</b>

    基于URAM原語創(chuàng)建容量更大的RAM

    UltraRAM 原語(也稱為 URAM)可在 Xilinx UltraScale +? 架構中使用,而且可用來高效地實現(xiàn)大容量深存儲器。
    發(fā)表于 07-13 11:08 ?7179次閱讀
    基于URAM<b class='flag-5'>原語</b>創(chuàng)建容量更大的RAM

    Xilinx原語使用方法有哪些

    Xilinx公司的原語按照功能分為10類,包括:計算組件、I/O端口組件、寄存器和鎖存器、時鐘組件、處理器組件、移位寄存器、配置和檢測組件、RAM/ROM組件、Slice/CLB組件以及G比特收發(fā)器組件。下面分別對其進行詳細介紹。
    的頭像 發(fā)表于 02-08 14:01 ?1302次閱讀
    Xilinx<b class='flag-5'>原語</b>使用方法有哪些

    Xilinx原語使用方法

    Xilinx公司的原語按照功能分為10類,包括:計算組件、I/O端口組件、寄存器和鎖存器、時鐘組件、處理器組件、移位寄存器、配置和檢測組件、RAM/ROM組件、Slice/CLB組件以及G比特收發(fā)器組件。下面分別對其進行詳細介紹。
    發(fā)表于 03-24 06:14 ?3次下載
    Xilinx<b class='flag-5'>原語</b>使用方法

    Verilog HDL指定用戶定義原語UDP的能力

    在前一章中,我們介紹了Verilog HDL提供的內(nèi)置基本門。本章講述Verilog HDL指定用戶定義原語U D P的能力。
    的頭像 發(fā)表于 08-08 11:46 ?883次閱讀

    Gowin原語用戶指南

    電子發(fā)燒友網(wǎng)站提供《Gowin原語用戶指南.pdf》資料免費下載
    發(fā)表于 09-15 11:57 ?1次下載
    Gowin<b class='flag-5'>原語</b>用戶指南