0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

國(guó)產(chǎn)化浪潮中的國(guó)產(chǎn)FPGA

FPGA技術(shù)江湖 ? 來(lái)源:FPGA技術(shù)江湖 ? 2023-04-12 09:20 ? 次閱讀

從863項(xiàng)目開(kāi)始,我國(guó)啟動(dòng)自主創(chuàng)新,到2006年國(guó)務(wù)院發(fā)布的《國(guó)家中長(zhǎng)期科學(xué)和技術(shù)發(fā)展規(guī)劃綱要(2006-2020年)》,啟動(dòng)“核高基”項(xiàng)目,即核心電子器件、高端通用芯片及基礎(chǔ)軟件產(chǎn)品,我們國(guó)家一直很重視信創(chuàng)(信息技術(shù)應(yīng)用創(chuàng)新)產(chǎn)業(yè)。由于基礎(chǔ)學(xué)科的薄弱及相關(guān)專利的限制,我們的國(guó)產(chǎn)化進(jìn)程并不順利。再加上管理方面的一些問(wèn)題,出現(xiàn)了漢芯造假等各種腐敗案件,讓我們的***研發(fā)踩了不少巨坑,大大延緩了整個(gè)信創(chuàng)產(chǎn)業(yè)的發(fā)展,導(dǎo)致一些重要領(lǐng)域還不得不使用國(guó)外科技巨頭的產(chǎn)品。以高端芯片為例,國(guó)產(chǎn)化率還非常低:

64e56b18-d8ce-11ed-bfe3-dac502259ad0.png

2018年中興事件及2019年開(kāi)始,美國(guó)政府開(kāi)始限制美國(guó)公司向我國(guó)的華為等70家公司出售零部件或先進(jìn)技術(shù),多家科技巨頭隨即減少了與華為的合作,特別是臺(tái)積電受美國(guó)政府脅迫,不再為華為代工高端移動(dòng)處理器芯片,直接掐死了華為手機(jī)業(yè)務(wù)。在如此嚴(yán)峻的國(guó)際環(huán)境中,我們的國(guó)產(chǎn)化替代變得更加迫切。特別是CPU,GPUFPGA及各種存儲(chǔ)類器件,需要加快國(guó)產(chǎn)化替代的進(jìn)程,在國(guó)家安全、政府、軍工領(lǐng)域?qū)崿F(xiàn)全面替代,在民用領(lǐng)域也能擴(kuò)大市場(chǎng)占有率。 2022年9月底,國(guó)資委下發(fā)的79號(hào)文件,全面指導(dǎo)并要求國(guó)央企落實(shí)信息化系統(tǒng)的信創(chuàng)國(guó)產(chǎn)化改造。其中,明確要求所有中央企業(yè)在2022年11月底前將安可替代總體方案報(bào)送國(guó)資委;自2023年1月起,每季度末向國(guó)資委報(bào)送信創(chuàng)系統(tǒng)替換進(jìn)度。最終要求2027年底前,實(shí)現(xiàn)所有中央企業(yè)的信息化系統(tǒng)安可信創(chuàng)替代。受益于信創(chuàng)概念,市場(chǎng)普遍認(rèn)為,未來(lái)五年是 “大信創(chuàng)” 發(fā)展的關(guān)鍵時(shí)期,發(fā)展空間廣闊。本文將聚焦于FPGA這類特殊器件,重點(diǎn)闡述FPGA的市場(chǎng)空間及國(guó)產(chǎn)FPGA的技術(shù)發(fā)展情況。FPGA市場(chǎng)概況作為可編程的半定制器件,F(xiàn)PGA 在現(xiàn)場(chǎng)可重復(fù)編程能力、更短的產(chǎn)品上市時(shí)間、項(xiàng)目周期可預(yù)測(cè)性更強(qiáng)、小批量上成本低等方面具有很大優(yōu)勢(shì)。在5G通信基礎(chǔ)設(shè)施,工業(yè)控制領(lǐng)域,新能源汽車及數(shù)據(jù)中心機(jī)房都有大量使用。根據(jù)Frost & Sullivan的數(shù)據(jù),2021年,全球FPGA芯片產(chǎn)業(yè)規(guī)模約為68.6億美元,同比增長(zhǎng)12.8%。預(yù)計(jì)到2025年,市場(chǎng)規(guī)模將達(dá)到125.8億美元。

64fb74da-d8ce-11ed-bfe3-dac502259ad0.png

就國(guó)內(nèi)市場(chǎng)來(lái)說(shuō),近幾年FPGA市場(chǎng)也在持續(xù)擴(kuò)大增長(zhǎng),2021年國(guó)內(nèi)FPGA芯片市場(chǎng)為176.8億元,2016-2021年的年均復(fù)合增長(zhǎng)率約為23.1%,遠(yuǎn)高于同期海外FPGA市場(chǎng)的增長(zhǎng)率。隨著國(guó)產(chǎn)替代進(jìn)程的進(jìn)一步加速,中國(guó)FPGA芯片市場(chǎng)需求量有望持續(xù)擴(kuò)大,預(yù)計(jì)到2025年,中國(guó)FPGA市場(chǎng)規(guī)模將達(dá)到約332.2億元。 全球FPGA市場(chǎng),主要被海外企業(yè)壟斷。根據(jù)Gartner統(tǒng)計(jì)數(shù)據(jù),從銷售收入來(lái)看,2021年Xilinx、IntelLatticeMicrochip的市占率分別達(dá)51%、29%、7%和6%。國(guó)內(nèi)FPGA廠商起步較晚,并且受到技術(shù)壁壘及人才短缺的限制,僅在國(guó)內(nèi)的中低端市場(chǎng)獲得一些生存空間。主要的國(guó)產(chǎn)FPGA廠商有紫光同創(chuàng)、復(fù)旦微電子、安路科技等,上述公司2021年在國(guó)內(nèi)市場(chǎng)共占據(jù)16%的市場(chǎng)份額,其中安路科技和紫光同創(chuàng)產(chǎn)品結(jié)構(gòu)偏向消 費(fèi)電子、工業(yè)控制和通訊等民用領(lǐng)域。紫光同創(chuàng)作為上市公司紫光國(guó)微的聯(lián)營(yíng)企業(yè),紫光同創(chuàng)專注于FPGA芯片設(shè)計(jì)及其配套EDA開(kāi)發(fā)工具的研發(fā)與銷售,有10年的可編程邏輯器件發(fā)展史。其FPGA產(chǎn)品覆蓋4大家族,高中低端7個(gè)系列,約50個(gè)量產(chǎn)型號(hào),在國(guó)產(chǎn)FPGA廠商中,研發(fā)實(shí)力較強(qiáng),是中國(guó)FPGA民用行業(yè)的領(lǐng)軍企業(yè),占中國(guó)FPGA市場(chǎng)總額的4%(根據(jù)摩根斯坦利的數(shù)據(jù))。下圖為紫光同創(chuàng)發(fā)展大事件。

650e9830-d8ce-11ed-bfe3-dac502259ad0.png

紫光同創(chuàng)于2022年推出的28nm工藝FPGA芯片,已經(jīng)能支持13.125Gbps的SerDes以及1866Mbps的DDR4,邏輯單元也高達(dá)390k。受益于長(zhǎng)期高額研發(fā)投入,2019~2021年,公司營(yíng)收從1.02億元增至7.82億元,CAGR達(dá)到176%。

65278868-d8ce-11ed-bfe3-dac502259ad0.png

西安智多晶成立于2012年,總部位于古都西安,在北京設(shè)立有軟件研發(fā)中心。創(chuàng)始團(tuán)隊(duì)曾就職于國(guó)外知名FPGA廠商,擁有三十多年行業(yè)設(shè)計(jì)制造經(jīng)驗(yàn),并擔(dān)任多個(gè)專業(yè)方向的技術(shù)帶頭人。智多晶是一家專注于可編程邏輯電路器件技術(shù)的研發(fā)、生產(chǎn)、銷售的 “國(guó)家級(jí)高新技術(shù)企業(yè)” ,并為系統(tǒng)制造商提供高集成度、高性價(jià)比的可編程邏輯器件、可編程邏輯器件IP核、相關(guān)軟件設(shè)計(jì)工具以及系統(tǒng)解決方案。 目前智多晶擁有四條產(chǎn)品線,分別為162nm CPLD 產(chǎn)品線、55nm內(nèi)嵌Flash小容量FPGA 產(chǎn)品、55nm中等邏輯量FPGA與28nm 嵌入式FPGA,14nm的產(chǎn)品正在研發(fā)過(guò)程中。其中28nm高性價(jià)比FPGA SA5T-100具有豐富的RAMDSP資源,在5G小站AAU/RRU上可實(shí)現(xiàn)5G-NR 100M帶寬的FIR濾波處理,在中頻信號(hào)處理方面可實(shí)現(xiàn)上下變頻、預(yù)失真和波峰系數(shù)降低等功能。此產(chǎn)品集成的高速SerDes能達(dá)到12.5Gbps的速率,可實(shí)現(xiàn)5G基站的CPRI及eCPRI前傳協(xié)議,可對(duì)標(biāo)Xilinx的Kintex7系列中的部分FPGA器件。

654209d6-d8ce-11ed-bfe3-dac502259ad0.png

安路科技安路科技于 2011 年 11 月在上海成立,2021年在科創(chuàng)板上市。公司前十大股東中國(guó)有股東共有 4 位,分別為華大半導(dǎo)體、國(guó)家集成電路產(chǎn)業(yè)投資基金、上??苿?chuàng)投、深創(chuàng)投集團(tuán),持股比例分別為 29.17%、9.78%、5.43%和 2.06%。公司管理層和核心技術(shù)人員在半導(dǎo)體及 FPGA 領(lǐng)域深耕多年,具有豐富的半導(dǎo)體及 FPGA 軟硬件設(shè)計(jì)經(jīng)驗(yàn)。經(jīng)過(guò)多年持續(xù)不斷的自主研發(fā),公司以優(yōu) 異的產(chǎn)品設(shè)計(jì)和可靠的量產(chǎn)品質(zhì)贏得了客戶的高度信任。安路科技的FPGA產(chǎn)品主要應(yīng)用在工業(yè)控制、網(wǎng)絡(luò)通信、數(shù)據(jù)中心和消費(fèi)電子等領(lǐng)域,在工業(yè)控制領(lǐng)域,公司已成功進(jìn)入了匯川技術(shù)、利亞德、靈星雨等知名企業(yè)的供應(yīng)鏈;在網(wǎng)絡(luò)通信領(lǐng)域,公司產(chǎn)品于 2019 年開(kāi)始導(dǎo)入中興通訊,是第一批進(jìn)入中興通訊供應(yīng)鏈的國(guó)產(chǎn) FPGA 企業(yè)之一,是國(guó)內(nèi)極少數(shù)通過(guò)多家國(guó)際領(lǐng)先通信設(shè)備商 認(rèn)證的合格供應(yīng)商之一。 從安路科技網(wǎng)站上公開(kāi)的信息來(lái)看,其最高端的FPGA產(chǎn)品PHOENIX 系列也能支持12.5Gbps的高速SerDes以及DDR4@1866Mbps。另外,安路科技的FPSoC 系列產(chǎn)品嵌入了RISC-V 處理器,可實(shí)現(xiàn)靈活的系統(tǒng)控制功能,也可以應(yīng)用于高帶寬的視頻數(shù)據(jù)處理和橋接可編程系統(tǒng)芯片市場(chǎng),為國(guó)產(chǎn)替代添磚加瓦的同時(shí),幫助公司2022年實(shí)現(xiàn)了扭虧為盈。

655189e2-d8ce-11ed-bfe3-dac502259ad0.png

6561fc00-d8ce-11ed-bfe3-dac502259ad0.png

復(fù)旦微電復(fù)旦微電是A股FPGA芯片龍頭,成立于1998年,由復(fù)旦大學(xué)“專用集成電路與系統(tǒng)國(guó)家重點(diǎn)實(shí)驗(yàn)室”和上海商業(yè)投資公司等聯(lián)合創(chuàng)辦,2000年在香港上市,2021年8月掛牌A股科創(chuàng)板,是唯一的“A+H”兩地上市的IC設(shè)計(jì)公司。經(jīng)過(guò)二十多年的發(fā)展,復(fù)旦微電子在安全與識(shí)別芯片、非揮發(fā)存儲(chǔ)器、智能電表芯片、FPGA 芯片等領(lǐng)域都有產(chǎn)品推出,廣泛應(yīng)用于金融、社保、城市公共交通、電子證照、移動(dòng)支付、防偽溯源、智能手機(jī)、安防監(jiān)控、工業(yè)控制、信號(hào)處理、智能計(jì)算等眾多領(lǐng)域。復(fù)旦微電自2004年開(kāi)始FPGA研發(fā),曾陸續(xù)發(fā)布百萬(wàn)門級(jí)和千萬(wàn)門級(jí)FPGA芯片,2018年Q2推出的28nm億門級(jí)FPGA 產(chǎn)品,也是國(guó)內(nèi)首款。據(jù)最新報(bào)道,復(fù)旦微電子正在開(kāi)發(fā)十億門級(jí)的FPGA,有望在2023年內(nèi)發(fā)布。

6570cb40-d8ce-11ed-bfe3-dac502259ad0.png

復(fù)旦微電研發(fā)實(shí)力強(qiáng)勁,其FPGA產(chǎn)品占國(guó)內(nèi)市場(chǎng)的2%,主要用于特種市場(chǎng),在芯片的國(guó)產(chǎn)替代中不可或缺。2018~2021年,復(fù)旦微電子的FPGA營(yíng)收從1.55億元增至4.27億元,器件CAGR高達(dá)40.2%,毛利率也超過(guò)80%。

659bbb70-d8ce-11ed-bfe3-dac502259ad0.png

除了上述四家,我國(guó)還有京微齊力、成都華微、高云半導(dǎo)體等FPGA廠商也在齊頭并進(jìn),找到了適合自己的發(fā)展方向,在國(guó)內(nèi)可編程邏輯器件領(lǐng)域站穩(wěn)了腳跟。另外還有一家FPGA廠商易靈思(Efinix),在美國(guó)加州及中國(guó)香港都有 “總部” 。易靈思采用邏輯和路由可以互換的XLR結(jié)構(gòu),革命性地發(fā)明了Quantum架構(gòu),使得FPGA的資源利用率大幅提高。相比傳統(tǒng)FPGA架構(gòu),同樣的邏輯資源,可以用更小的封裝,功耗也相應(yīng)降低。其鈦金系列16nm工藝的FPGA,能支持到25.8Gbps的SerDes,以及LPDDR4,邏輯資源高達(dá)969k。國(guó)產(chǎn)替代空間2021年,國(guó)內(nèi)FPGA市場(chǎng)占全球的40%,但是自給率僅為17%,增長(zhǎng)空間還非常大。隨著政府對(duì)5G基礎(chǔ)設(shè)施建設(shè)的大力推進(jìn),以及人工智能和數(shù)據(jù)中心、電動(dòng)汽車、工業(yè)物聯(lián)網(wǎng)的發(fā)展,摩根士丹利預(yù)測(cè),中國(guó)的FPGA市場(chǎng)收入在2025年之前,每年將增長(zhǎng)17%,到2025年,國(guó)內(nèi)FPGA市場(chǎng)收入將達(dá)到52億美元。

6640af7c-d8ce-11ed-bfe3-dac502259ad0.png

在當(dāng)前復(fù)雜的國(guó)際形勢(shì)下,出于國(guó)家安全考慮,特種應(yīng)用領(lǐng)域自主可控的重要性排在了首要位置,在部隊(duì)信息化和裝備國(guó)產(chǎn)化的雙輪驅(qū)動(dòng)下,特種FPGA國(guó)產(chǎn)化率進(jìn)程將領(lǐng)先于民用市場(chǎng),國(guó)內(nèi)廠商的發(fā)展機(jī)遇將會(huì)越來(lái)越好。從頭部國(guó)產(chǎn)廠商近幾年的研發(fā)投入及營(yíng)收情況來(lái)看,大都進(jìn)入了收獲期。前期的大投入開(kāi)始為公司帶來(lái)營(yíng)收的增長(zhǎng),并逐步實(shí)現(xiàn)了盈利,公司發(fā)展進(jìn)入了良性循環(huán)的軌道。相信未來(lái)幾年,我國(guó)的FPGA研發(fā)人才也會(huì)逐漸增加,各個(gè)廠商也會(huì)繼續(xù)加大投入,加大創(chuàng)新力度,突破技術(shù)壁壘,豐富高端產(chǎn)品線,讓國(guó)產(chǎn)替代高端化。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598906
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417148
  • 先進(jìn)技術(shù)
    +關(guān)注

    關(guān)注

    0

    文章

    24

    瀏覽量

    6199

原文標(biāo)題:國(guó)產(chǎn)化浪潮中的國(guó)產(chǎn)FPGA

文章出處:【微信號(hào):HXSLH1010101010,微信公眾號(hào):FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    匠芯創(chuàng)引領(lǐng)RISC-V工業(yè)芯國(guó)產(chǎn)化浪潮

    ▼點(diǎn)擊馬上預(yù)約:共建RISC-V生態(tài)系列直播國(guó)產(chǎn)化浪潮的匠芯創(chuàng):RISC-V與工業(yè)芯片的未來(lái)8月6日(周二)20:00精彩開(kāi)播直播環(huán)節(jié)及流程活動(dòng)背景【共建RISC-V生態(tài)系列直播】致力于打造一個(gè)
    的頭像 發(fā)表于 08-06 08:36 ?147次閱讀
    匠芯創(chuàng)引領(lǐng)RISC-V工業(yè)芯<b class='flag-5'>國(guó)產(chǎn)化</b><b class='flag-5'>浪潮</b>

    種草一塊國(guó)產(chǎn)FPGA開(kāi)發(fā)板,PGL22G開(kāi)發(fā)板,高性價(jià)比,輕松掌握國(guó)產(chǎn)FPGA

    本帖最后由 jf_25420317 于 2024-7-31 17:59 編輯 盤古22K開(kāi)發(fā)板是基于紫光同創(chuàng)Logos系列PGL22G芯片設(shè)計(jì)的一款FPGA開(kāi)發(fā)板,全面實(shí)現(xiàn)國(guó)產(chǎn)化方案,板載
    發(fā)表于 07-31 17:11

    國(guó)產(chǎn)FPGA的發(fā)展前景是什么?

    門陣列)等,提升產(chǎn)品的綜合競(jìng)爭(zhēng)力。 四、產(chǎn)業(yè)鏈協(xié)同發(fā)展 上下游合作:國(guó)產(chǎn)FPGA廠商加強(qiáng)與EDA軟件、IP核、封裝測(cè)試等產(chǎn)業(yè)鏈上下游企業(yè)的合作,共同打造完整的FPGA生態(tài)系統(tǒng)。 供應(yīng)鏈安全:構(gòu)建本土
    發(fā)表于 07-29 17:04

    FDC1205-MC5I:LEM/CAB500的國(guó)產(chǎn)化新選擇

    LEM/CAB500的國(guó)產(chǎn)化新選擇
    的頭像 發(fā)表于 07-12 10:08 ?284次閱讀
    FDC1205-MC5I:LEM/CAB500的<b class='flag-5'>國(guó)產(chǎn)化</b>新選擇

    國(guó)產(chǎn)FPGA的優(yōu)勢(shì)是什么?

    發(fā)現(xiàn)國(guó)產(chǎn)FPGA 芯片也是越來(lái)越多,請(qǐng)問(wèn)國(guó)產(chǎn)的芯片對(duì)比國(guó)外的最大的優(yōu)勢(shì)是什么?
    發(fā)表于 05-26 20:20

    100%全國(guó)產(chǎn)化車載ACDC與DCDC電源

    在新能源汽車的核心零部件車載電源(包含車載ACDC充電機(jī)、車載DCDC變換器,多合一車載集成電源等)領(lǐng)域,全國(guó)產(chǎn)化主要體現(xiàn)在元器件、材料、生產(chǎn)等方方面面的100%國(guó)產(chǎn)化。以迪龍新能源為代表的主要車載
    的頭像 發(fā)表于 05-21 09:03 ?575次閱讀
    100%全<b class='flag-5'>國(guó)產(chǎn)化</b>車載ACDC與DCDC電源

    我國(guó)力促芯片國(guó)產(chǎn)化進(jìn)程,預(yù)計(jì)2027年實(shí)現(xiàn)整車芯片完全國(guó)產(chǎn)化

    國(guó)內(nèi)消息源透露,中國(guó)工信部已經(jīng)設(shè)定了宏大的目標(biāo),計(jì)劃在明年將芯片的國(guó)產(chǎn)化率提升至25%,并采用積分方式來(lái)驅(qū)動(dòng)國(guó)家對(duì)國(guó)產(chǎn)芯片研發(fā)的資金支持。特別是在電動(dòng)車領(lǐng)域,預(yù)計(jì)到2027年,整車所需的芯片將完全
    的頭像 發(fā)表于 05-15 11:21 ?1084次閱讀
    我國(guó)力促芯片<b class='flag-5'>國(guó)產(chǎn)化</b>進(jìn)程,預(yù)計(jì)2027年實(shí)現(xiàn)整車芯片完全<b class='flag-5'>國(guó)產(chǎn)化</b>

    九聯(lián)科技成功中標(biāo)甘肅電信2024年國(guó)產(chǎn)化瘦終端項(xiàng)目!

    九聯(lián)科技成功中標(biāo)甘肅電信2024年國(guó)產(chǎn)化瘦終端項(xiàng)目!
    的頭像 發(fā)表于 05-10 10:01 ?371次閱讀
    九聯(lián)科技成功中標(biāo)甘肅電信2024年<b class='flag-5'>國(guó)產(chǎn)化</b>瘦終端項(xiàng)目!

    怎么選擇適合行業(yè)的國(guó)產(chǎn)化平臺(tái)?

    怎么選擇合適的國(guó)產(chǎn)化平臺(tái)
    的頭像 發(fā)表于 04-24 11:01 ?399次閱讀

    電力行業(yè)國(guó)產(chǎn)化電源推薦

    電力不僅是現(xiàn)代工業(yè)和日常生活的關(guān)鍵,也是國(guó)家實(shí)現(xiàn)經(jīng)濟(jì)增長(zhǎng)和提高人民生活水平的關(guān)鍵驅(qū)動(dòng)力。目前各行各業(yè)都在強(qiáng)勢(shì)推進(jìn)國(guó)產(chǎn)化進(jìn)度,電力行業(yè)當(dāng)仁不讓:各設(shè)備公司全網(wǎng)匹配,要求做到全國(guó)產(chǎn)化;同時(shí),國(guó)產(chǎn)物料經(jīng)過(guò)經(jīng)年累月的市場(chǎng)驗(yàn)證,可靠性等方
    的頭像 發(fā)表于 01-19 13:42 ?924次閱讀
    電力行業(yè)<b class='flag-5'>國(guó)產(chǎn)化</b>電源推薦

    阿爾泰科技融合信息產(chǎn)業(yè)國(guó)產(chǎn)化成果,率先完成的全國(guó)產(chǎn)化測(cè)控系統(tǒng)軟硬件構(gòu)架。

    阿爾泰科技具有20多年測(cè)控系統(tǒng)自主研制軟硬件的產(chǎn)品積累,在國(guó)產(chǎn)化需求的浪潮,其軟件的跨平臺(tái)特性?,得到最好的價(jià)值體現(xiàn),基于近年來(lái)信息產(chǎn)業(yè)的國(guó)產(chǎn)化成果,經(jīng)過(guò)大量的軟硬件適配,可以為測(cè)控
    的頭像 發(fā)表于 01-09 10:54 ?315次閱讀
    阿爾泰科技融合信息產(chǎn)業(yè)<b class='flag-5'>國(guó)產(chǎn)化</b>成果,率先完成的全<b class='flag-5'>國(guó)產(chǎn)化</b>測(cè)控系統(tǒng)軟硬件構(gòu)架。

    2024新品|紫光同創(chuàng)盤古系列FPGA開(kāi)發(fā)板套件,100%國(guó)產(chǎn)化方案

    專業(yè)廠商紫光同創(chuàng)生態(tài)合作伙伴,小眼睛科技一直深耕FPGA產(chǎn)品和解決方案,基于紫光同創(chuàng)器件,推出100%國(guó)產(chǎn)化高性能盤古系列FPGA方案和開(kāi)發(fā)套件,為客戶提供專業(yè)且高效的FPGA產(chǎn)品和服
    發(fā)表于 12-28 14:18

    國(guó)產(chǎn)FPGA有哪些

    請(qǐng)問(wèn)有哪些國(guó)產(chǎn)FPGA?
    發(fā)表于 12-26 12:02

    紫光同創(chuàng)FPGA開(kāi)發(fā)套件,高性能國(guó)產(chǎn)FPGA方案

    紫光同創(chuàng)FPGA開(kāi)發(fā)套件,高性能國(guó)產(chǎn)FPGA方案,100%國(guó)產(chǎn)化,全系列產(chǎn)品,方案可定制,滿足多方面需求
    發(fā)表于 11-16 17:25

    元器件100%國(guó)產(chǎn)化的隔離收發(fā)器,你了解多少?

    目前客戶對(duì)產(chǎn)品的元器件國(guó)產(chǎn)化率追求度越來(lái)越高,甚至要求元器件100%國(guó)產(chǎn)化的產(chǎn)品需求。因此,致遠(yuǎn)電子推出元器件100%國(guó)產(chǎn)化隔離收發(fā)器模塊。近幾年客戶對(duì)產(chǎn)品的元器件國(guó)產(chǎn)化率追求度越來(lái)越
    的頭像 發(fā)表于 10-12 08:25 ?947次閱讀
    元器件100%<b class='flag-5'>國(guó)產(chǎn)化</b>的隔離收發(fā)器,你了解多少?