0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

EDA仿真驗(yàn)證環(huán)境中的激勵(lì)、檢查和覆蓋率

jf_GctfwYN7 ? 來源:芯片驗(yàn)證工程師 ? 2023-04-15 10:13 ? 次閱讀

下圖是一個(gè)典型的EDA仿真驗(yàn)證環(huán)境,其中主要的組件就是激勵(lì)生成、檢查覆蓋率收集。

這三者缺一不可。

b6af3e12-daca-11ed-bfe3-dac502259ad0.png

· 激勵(lì)生成是我們驗(yàn)證環(huán)境的第一個(gè)關(guān)鍵組件,用于驅(qū)動(dòng)DUT到一個(gè)預(yù)期的狀態(tài)。

· 在DUT正在處理激勵(lì)以及完成激勵(lì)處理之后我們需要實(shí)時(shí)的或者離線的checker機(jī)制來確保芯片按照預(yù)期行為工作。

· 覆蓋率是最終的量化機(jī)制、也可以理解為激勵(lì)的反饋機(jī)制。我們不斷地激勵(lì)著DUT,同時(shí)也在進(jìn)行檢查,整個(gè)過程中通過覆蓋率的量化來反饋驗(yàn)證工程師有沒有驗(yàn)證完成?哪里還需要繼續(xù)驗(yàn)證?

下圖是覆蓋率反饋到激勵(lì),以及表明驗(yàn)證是否完成的一個(gè)流程。在這個(gè)流程中,我們不斷地根據(jù)覆蓋率調(diào)整激勵(lì)發(fā)送的隨機(jī)機(jī)制,直到只剩幾個(gè)邊界場(chǎng)景很難覆蓋到,我們?cè)贅?gòu)造定向用例。

b6c68cca-daca-11ed-bfe3-dac502259ad0.png

這是一個(gè)比較高效的現(xiàn)代EDA仿真驗(yàn)證流程,整個(gè)流程中激勵(lì)生成、檢查機(jī)制和覆蓋率量化都需要保證完備性。

完備的激勵(lì)+完備的檢查機(jī)制+完備的覆蓋率量化才能夠獲得有信心的驗(yàn)證結(jié)果,缺一不可。另外,這三者中的任何一個(gè)做到絕對(duì)的完備就是非常難的。

· 如果覆蓋率完備了,也可以保證激勵(lì)的完備。如果發(fā)現(xiàn)用例構(gòu)造過程非常困難,大概率是驗(yàn)證環(huán)境架構(gòu)的不合理。

要么趨向于定向用例,你需要維護(hù)非常多的sequence,經(jīng)常一個(gè)需求會(huì)讓你改動(dòng)多個(gè)sequence;要么趨向完全隨機(jī),可控性比較差。這兩個(gè)情況都降低驗(yàn)證效率。

· checker是必須要保證完備的,否則就算你激勵(lì)覆蓋到了某個(gè)場(chǎng)景,你也識(shí)別不出來問題。驗(yàn)證的重點(diǎn)是發(fā)現(xiàn)所有的bug,然后才是盡可能地保證激勵(lì)完備性。如果非要分個(gè)優(yōu)先級(jí),個(gè)人覺得checker的完備要高于激勵(lì)的完備。因?yàn)槿绻氵z漏了某個(gè)bug,更上層的驗(yàn)證環(huán)境也許可以通過集成你的checker來發(fā)現(xiàn)問題。

· 覆蓋率也非常重要。否則就是在黑暗中胡亂開槍射擊,你不知道去過哪里,也就不知道后面要往哪里去了

保證激勵(lì)、檢查和覆蓋率的完備,全部都是難點(diǎn)。





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • EDA工具
    +關(guān)注

    關(guān)注

    4

    文章

    264

    瀏覽量

    31536
  • EDA仿真技術(shù)
    +關(guān)注

    關(guān)注

    0

    文章

    5

    瀏覽量

    5415
  • DUT
    DUT
    +關(guān)注

    關(guān)注

    0

    文章

    188

    瀏覽量

    12190

原文標(biāo)題:IC干貨 | 驗(yàn)證環(huán)境中的激勵(lì)、檢查和覆蓋率

文章出處:【微信號(hào):IC修真院,微信公眾號(hào):IC修真院】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    一個(gè)高效的現(xiàn)代EDA仿真驗(yàn)證流程

    下圖是一個(gè)典型的EDA仿真驗(yàn)證環(huán)境,其中主要的組件就是激勵(lì)生成、檢查
    的頭像 發(fā)表于 04-13 09:27 ?1753次閱讀

    怎么用Vivado做覆蓋率分析

    在做仿真的時(shí)候往往會(huì)去做代碼覆蓋率和功能覆蓋率的分析,來保證仿真是做的比較充分完備的。
    的頭像 發(fā)表于 01-03 12:34 ?1233次閱讀
    怎么用Vivado做<b class='flag-5'>覆蓋率</b>分析

    關(guān)于SpinalHDL驗(yàn)證覆蓋率收集簡(jiǎn)單說明

    在做RTL仿真驗(yàn)證時(shí),覆蓋率收集往往是我們?cè)?b class='flag-5'>驗(yàn)證需要注意的地方,本篇就SpinalHDL
    發(fā)表于 06-24 15:56

    重點(diǎn)厘清覆蓋率相關(guān)的概念以及在芯片開發(fā)流程中跟覆蓋率相關(guān)的事項(xiàng)

    。提個(gè)小建議,即使對(duì)SV相關(guān)語法很熟悉,實(shí)現(xiàn)覆蓋率模型的時(shí)候還是使用最直接和最簡(jiǎn)單的方式。這樣可以提高可讀性,便于維護(hù)和評(píng)審。03 代碼覆蓋率代碼覆蓋率,被用來度量RTL哪些代碼被
    發(fā)表于 09-14 11:57

    結(jié)合覆蓋率驅(qū)動(dòng)技術(shù)的RVM驗(yàn)證方法學(xué)在SOC驗(yàn)證的應(yīng)用

            本文首先介紹RVM驗(yàn)證方法學(xué)和覆蓋率驅(qū)動(dòng)技術(shù),然后詳細(xì)分析如何使用結(jié)合覆蓋率驅(qū)動(dòng)技術(shù)的RVM驗(yàn)證
    發(fā)表于 09-05 08:53 ?15次下載

    針對(duì)功能覆蓋率驗(yàn)證過程

    針對(duì)功能覆蓋率驗(yàn)證過程神州龍芯集成電路設(shè)計(jì)公司徐偉俊 楊鑫 陳先勇 夏宇聞[摘要]:本文在介紹傳統(tǒng)驗(yàn)證過程及其局限性的基礎(chǔ)上,闡述了針對(duì)功能覆蓋率
    發(fā)表于 12-23 16:12 ?13次下載

    基于覆蓋率的功能驗(yàn)證方法

    隨著半導(dǎo)體技術(shù)的發(fā)展,驗(yàn)證已經(jīng)逐漸成為大規(guī)模集成電路設(shè)計(jì)的主要瓶頸。首先介紹傳統(tǒng)的功能驗(yàn)證方法并剖析其優(yōu)缺點(diǎn),然后引入傳統(tǒng)方法的一種改進(jìn)基于覆蓋率驗(yàn)證方法,最后
    發(fā)表于 06-29 10:46 ?22次下載
    基于<b class='flag-5'>覆蓋率</b>的功能<b class='flag-5'>驗(yàn)證</b>方法

    Verilog代碼覆蓋率檢查

    Verilog代碼覆蓋率檢查檢查驗(yàn)證工作是否完全的重要方法,代碼覆蓋率(codecoverge)可以指示Verilog代碼描述的功能有多少在仿真
    發(fā)表于 04-29 12:35 ?8245次閱讀

    使用 Veloce 完成局部系統(tǒng)級(jí)協(xié)議檢查覆蓋率收斂

    使用 Veloce 完成局部系統(tǒng)級(jí)協(xié)議檢查覆蓋率收斂
    發(fā)表于 09-05 08:39 ?4次下載
    使用 Veloce 完成局部系統(tǒng)級(jí)協(xié)議<b class='flag-5'>檢查</b>和 <b class='flag-5'>覆蓋率</b>收斂

    嵌入式代碼覆蓋率統(tǒng)計(jì)方法和經(jīng)驗(yàn)

    代碼覆蓋率是衡量軟件測(cè)試完成情況的指標(biāo),通?;跍y(cè)試過程檢查的程序源代碼比例計(jì)算得出。代碼覆蓋率可以有效避免包含未測(cè)試代碼的程序被發(fā)布。 1. 問題背景 代碼
    的頭像 發(fā)表于 01-06 15:06 ?3013次閱讀
    嵌入式代碼<b class='flag-5'>覆蓋率</b>統(tǒng)計(jì)方法和經(jīng)驗(yàn)

    統(tǒng)計(jì)嵌入式代碼覆蓋率的方法和經(jīng)驗(yàn)

    代碼覆蓋率是衡量軟件測(cè)試完成情況的指標(biāo),通?;跍y(cè)試過程檢查的程序源代碼比例計(jì)算得出。代碼覆蓋率可以有效避免包含未測(cè)試代碼的程序被發(fā)布。 1. 問題背景 代碼
    的頭像 發(fā)表于 03-29 11:58 ?1804次閱讀
    統(tǒng)計(jì)嵌入式代碼<b class='flag-5'>覆蓋率</b>的方法和經(jīng)驗(yàn)

    脫離代碼談芯片驗(yàn)證關(guān)鍵指標(biāo):覆蓋率

    驗(yàn)證覆蓋率(Verification Coverage)的存在是為了試圖回答這樣一個(gè)問題:“你怎么知道驗(yàn)證已經(jīng)完成?”
    的頭像 發(fā)表于 04-17 10:04 ?3658次閱讀

    SystemVerilog的覆蓋率建模方式

    為了確保驗(yàn)證的完備性,我們需要量化驗(yàn)證目標(biāo)。SystemVerilog提供了一套豐富的覆蓋率建模方式。
    的頭像 發(fā)表于 06-25 10:44 ?937次閱讀

    Vivado仿真器和代碼覆蓋率簡(jiǎn)析

    編寫 HDL 通常是 FPGA 開發(fā)耗時(shí)最少的部分,最具挑戰(zhàn)性和最耗時(shí)的部分可能是驗(yàn)證。根據(jù)最終應(yīng)用程序,驗(yàn)證可能非常簡(jiǎn)單,也可能非常復(fù)雜,簡(jiǎn)單的話只需對(duì)大多數(shù)功能進(jìn)行檢查或執(zhí)行完全
    的頭像 發(fā)表于 08-03 09:23 ?1700次閱讀
    Vivado<b class='flag-5'>仿真</b>器和代碼<b class='flag-5'>覆蓋率</b>簡(jiǎn)析

    利用靜態(tài)檢查工具完善功能安全測(cè)試覆蓋率

    在功能安全測(cè)試覆蓋率是比較重要的概念,也是在驗(yàn)證過程通常需要花費(fèi)時(shí)間較多的步驟,如果能借助于靜態(tài)檢查工具的死邏輯查找和聲明、測(cè)試用例自動(dòng)
    的頭像 發(fā)表于 09-05 09:15 ?152次閱讀
    利用靜態(tài)<b class='flag-5'>檢查</b>工具完善功能安全<b class='flag-5'>中</b>測(cè)試<b class='flag-5'>覆蓋率</b>