0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

怎么配置DFT中常見(jiàn)的MBIST以及SCAN CHAIN

sanyue7758 ? 來(lái)源:處芯積律 ? 2023-04-16 11:34 ? 次閱讀

今天這期小編將繼續(xù)與大家一起學(xué)習(xí)DFT的相關(guān)知識(shí)和流程代碼,在開(kāi)始之前,先解決一下上期DFT學(xué)習(xí)的章節(jié)最后留下的問(wèn)題—DFT工程師在收斂時(shí)序timing的時(shí)候經(jīng)常遇到的hold的問(wèn)題,即不同時(shí)鐘域的兩個(gè)SDFF(掃描單元的SI端hold違例問(wèn)題。

首先要明確為什么會(huì)出現(xiàn)這樣的違例,實(shí)際上在后端APR階段,通常不會(huì)對(duì)兩個(gè)不同時(shí)鐘域用于DFT測(cè)試的Sink點(diǎn)進(jìn)行Skew上的Balance,也就是說(shuō)不會(huì)去做樹(shù),同時(shí)DFT的時(shí)鐘的頻率又相對(duì)較慢,通常為10~50MHZ,因此兩個(gè)跨時(shí)鐘域的SDFF之間的skew可能在時(shí)鐘prograted后會(huì)達(dá)到十幾甚至幾十納秒,這個(gè)時(shí)候就沒(méi)必要傻乎乎的跑PT后去插Buffer/INV硬修,一般有經(jīng)驗(yàn)的DFT工程師,都會(huì)選擇在前一級(jí)的Reg的Q端后接一個(gè)相同時(shí)鐘的Latch來(lái)修hold Timing Violation,這種辦法雖然會(huì)犧牲一些面積,但是從本質(zhì)上說(shuō)其實(shí)是通過(guò)以下原理去修hold的:1.通過(guò)Latch可以借半個(gè)周期的margin ;2.可以改變timing check的時(shí)鐘發(fā)射接收觸發(fā)前后沿的相對(duì)位置以及時(shí)序檢查方式。實(shí)際上這種接Latch修hold的方式不僅可以用在DFT SCAN的SDFF中,正常修hold做ECO的時(shí)候也有使用。

bcaa3950-dbad-11ed-bfe3-dac502259ad0.png

bccd6c18-dbad-11ed-bfe3-dac502259ad0.png

圖1 上圖為兩個(gè)跨時(shí)鐘域的SDFF的Reg2Reg Path ;下圖為Reg1 Latch Reg2的時(shí)鐘信號(hào)的有效沿檢查。

從圖一的下圖不難得出,原本Domain1clock和Domain2clock的Skew比較大,導(dǎo)致launch clklatency加上data path min delay都達(dá)不到capture clk delay加上hold time,而lockup latch的加入,實(shí)際上將timing check分成了兩部分,一部分是Reg2Latch,一部分是Latch2Reg,對(duì)Reg2Latch這條Path來(lái)說(shuō),由于是同一個(gè)時(shí)鐘域,hold檢查在同一周期的同一時(shí)鐘沿,在靠的較近的情況下幾乎沒(méi)有skew,hold很好滿(mǎn)足;而對(duì)Latch2Reg這條Path來(lái)說(shuō),Latch可以借半個(gè)周期,可以說(shuō)是近乎天然滿(mǎn)足hold,這樣一來(lái)跨時(shí)鐘域的SDFF的時(shí)序問(wèn)題就得到了有效的解決。

解決完上期的遺留問(wèn)題,讓小編來(lái)介紹一下DFT工程師在日常工作當(dāng)中必須掌握的工作技能以及相關(guān)知識(shí),其中包括SCAN CHAIN的添加以及配置,MBIST電路的生成以及配置。

首先來(lái)介紹一個(gè)DFT工程師在日常的工作的工作流程是怎么樣的,可以大致分為以下六步驟:1.實(shí)現(xiàn)測(cè)試功能判斷,開(kāi)銷(xiāo)判斷,熟悉并測(cè)試時(shí)鐘架構(gòu);2.插入BIST自測(cè)試電路;3.DFF替換為掃描單元SDFF,并將鏈串起來(lái),串起來(lái)后壓縮組合邏輯;4.邊界掃描鏈(用來(lái)測(cè)試Module),其中包括生成JTAG電路,掃描網(wǎng)絡(luò)以及接口,生成JTAG TAP Controller;5.將期間生成的自動(dòng)向量收集,將仿真文件收集跑仿真,綜合過(guò)formal;6.debug,也是DFT工程師的日常。

掃描鏈的配置與壓縮

bce8c490-dbad-11ed-bfe3-dac502259ad0.png

實(shí)際上掃描鏈的配置主要包括test config 以及 scan config,配置完后綜合會(huì)將掃描網(wǎng)絡(luò)電路生成在網(wǎng)表中,一般來(lái)說(shuō)掃描鏈不止一條。

bd136d30-dbad-11ed-bfe3-dac502259ad0.png

壓縮邏輯就是掃描鏈的最后一個(gè)掃描單元DFF/Q端到Scan out Pin的data path,測(cè)試pin要盡可能少,壓縮可以到幾百倍。

壓縮分為空間壓縮和時(shí)間壓縮,時(shí)間壓縮(MISR)就是增加拍數(shù),進(jìn)而增加測(cè)試向量的時(shí)間長(zhǎng)度,來(lái)降低掃描數(shù)據(jù)的容錯(cuò)率,比如2000bit長(zhǎng)度的掃描鏈。

MBIST(Memory-Build-in-self-test自測(cè)試)

實(shí)際上在日常生產(chǎn)當(dāng)中,MEM是在設(shè)計(jì)當(dāng)中最常見(jiàn)的IP,人們也常常擔(dān)心MEM在芯片內(nèi)部工作不正?;蛘邏牡簦@樣可以及時(shí)將備用的MEM頂替上去,而MBIST是由Controller以及BIST電路組成,也是由Pin接口到controller等多級(jí)fanout,將Controller按group放在common鏈上,同時(shí)還要考慮頂層TOP和block的之間common鏈的連接,再對(duì)Mbist上包含Mbist Controller的Common鏈進(jìn)行config 配置。

bd31b4ca-dbad-11ed-bfe3-dac502259ad0.png

實(shí)際上Mbist controller通過(guò)一組總線(ShareBus)訪問(wèn)內(nèi)部四個(gè)cpu以及noncpu內(nèi)部的memory,以實(shí)現(xiàn)mbist測(cè)試,這種測(cè)試方式可以有效的減少對(duì)功能時(shí)序以及走線資源的沖擊;但是測(cè)試時(shí)間較長(zhǎng),ALL Mode模式可以模擬出功能最?lèi)毫拥墓牡膱?chǎng)景。

bd7badbe-dbad-11ed-bfe3-dac502259ad0.png

bdb25454-dbad-11ed-bfe3-dac502259ad0.png

好了,到這里這期的DFT的ScanChain以及MBIST測(cè)試電路的配置以及生成就介紹完畢了,下一期小編將會(huì)結(jié)合IEEE1149.5以及1149.6等標(biāo)準(zhǔn)文件來(lái)描述下JTAG以及IJTAG是如何對(duì)模塊/TOP進(jìn)行邊界掃描測(cè)試的,IJTAG相比JTAG又有哪些優(yōu)勢(shì)呢?

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • DFT
    DFT
    +關(guān)注

    關(guān)注

    2

    文章

    224

    瀏覽量

    22607
  • Scan
    +關(guān)注

    關(guān)注

    0

    文章

    12

    瀏覽量

    3509

原文標(biāo)題:怎么配置DFT中常見(jiàn)的MBIST以及SCAN CHAIN ?

文章出處:【微信號(hào):處芯積律,微信公眾號(hào):處芯積律】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    放大電路中常見(jiàn)的噪聲有哪些

    在放大電路中,噪聲是一個(gè)不可忽視的問(wèn)題,它會(huì)影響信號(hào)的純凈度和系統(tǒng)的性能。放大電路中的噪聲來(lái)源廣泛,包括電路元件本身、外部環(huán)境以及電路設(shè)計(jì)等多個(gè)方面。以下將詳細(xì)闡述放大電路中常見(jiàn)的噪聲類(lèi)型,并探討其產(chǎn)生原因和特性。
    的頭像 發(fā)表于 09-03 14:03 ?153次閱讀

    甲烷濃度檢測(cè)儀中常見(jiàn)的檢測(cè)技術(shù)及其應(yīng)用

    甲烷濃度檢測(cè)儀中常見(jiàn)的檢測(cè)技術(shù)及其應(yīng)用
    的頭像 發(fā)表于 07-08 10:47 ?322次閱讀
    甲烷濃度檢測(cè)儀<b class='flag-5'>中常見(jiàn)</b>的檢測(cè)技術(shù)及其應(yīng)用

    DFTMBIST存儲(chǔ)器內(nèi)建自測(cè)試原因

    MBIST是Memory Build-In-Self Test的簡(jiǎn)稱(chēng),意為存儲(chǔ)器內(nèi)建自測(cè)試。
    的頭像 發(fā)表于 05-19 09:14 ?943次閱讀
    <b class='flag-5'>DFT</b>之<b class='flag-5'>MBIST</b>存儲(chǔ)器內(nèi)建自測(cè)試原因

    變壓器運(yùn)行中常見(jiàn)的異?,F(xiàn)象應(yīng)如何處理呢?

    變壓器運(yùn)行中常見(jiàn)的異?,F(xiàn)象應(yīng)如何處理?
    的頭像 發(fā)表于 03-08 09:19 ?507次閱讀
    變壓器運(yùn)行<b class='flag-5'>中常見(jiàn)</b>的異?,F(xiàn)象應(yīng)如何處理呢?

    伺服電機(jī)應(yīng)用中常見(jiàn)干擾類(lèi)型和產(chǎn)生途徑

    伺服電機(jī)應(yīng)用中常見(jiàn)干擾類(lèi)型和產(chǎn)生途徑
    的頭像 發(fā)表于 01-07 17:56 ?1202次閱讀

    DFT設(shè)計(jì)—MBIST算法測(cè)試

    當(dāng)SoC上有超過(guò)80%的芯片面積被各種形式的存儲(chǔ)器占用之時(shí),存儲(chǔ)器的DFT測(cè)試已經(jīng)變得非常重要。
    的頭像 發(fā)表于 12-09 09:56 ?3656次閱讀
    <b class='flag-5'>DFT</b>設(shè)計(jì)—<b class='flag-5'>MBIST</b>算法測(cè)試

    數(shù)?;旌闲酒?b class='flag-5'>scan chain問(wèn)題解析

    模擬到數(shù)字的信號(hào)不可控,需要和數(shù)字registered outputs mux一下提高test coverage。關(guān)鍵詞是registered output! 這個(gè)技巧俗稱(chēng)scan loopback。
    的頭像 發(fā)表于 12-08 11:24 ?1340次閱讀
    數(shù)?;旌闲酒?b class='flag-5'>scan</b> <b class='flag-5'>chain</b>問(wèn)題解析

    電氣設(shè)計(jì)中常見(jiàn)的電磁技術(shù),你了解多少?

    電氣設(shè)計(jì)中常見(jiàn)的電磁技術(shù),你了解多少?
    的頭像 發(fā)表于 12-07 14:29 ?937次閱讀
    電氣設(shè)計(jì)<b class='flag-5'>中常見(jiàn)</b>的電磁技術(shù),你了解多少?

    PCB設(shè)計(jì)中常見(jiàn)的走線等長(zhǎng)要求

    PCB設(shè)計(jì)中常見(jiàn)的走線等長(zhǎng)要求
    的頭像 發(fā)表于 11-24 14:25 ?2497次閱讀
    PCB設(shè)計(jì)<b class='flag-5'>中常見(jiàn)</b>的走線等長(zhǎng)要求

    接線端子在使用中常見(jiàn)的故障及解決方案

    電子發(fā)燒友網(wǎng)站提供《接線端子在使用中常見(jiàn)的故障及解決方案.doc》資料免費(fèi)下載
    發(fā)表于 11-01 11:00 ?0次下載
    接線端子在使用<b class='flag-5'>中常見(jiàn)</b>的故障及解決方案

    DFT如何產(chǎn)生PLL 測(cè)試pattern

    如何產(chǎn)生PLL 測(cè)試pattern,以及ATE如何根據(jù)這些pattern進(jìn)行PLL測(cè)試? DFT(Design For Tes
    的頭像 發(fā)表于 10-30 11:44 ?1420次閱讀
    <b class='flag-5'>DFT</b>如何產(chǎn)生PLL 測(cè)試pattern

    linux系統(tǒng)中常見(jiàn)注冊(cè)函數(shù)的使用方法

    大家好,今天給大家分享一下,linux系統(tǒng)中常見(jiàn)的注冊(cè)函數(shù)register_chrdev_region()、register_chrdev()、 alloc_chrdev_region()的使用方法。
    發(fā)表于 10-19 09:28 ?419次閱讀
    linux系統(tǒng)<b class='flag-5'>中常見(jiàn)</b>注冊(cè)函數(shù)的使用方法

    理解STM32控制中常見(jiàn)的PID算法

    理解STM32控制中常見(jiàn)的PID算法
    的頭像 發(fā)表于 10-17 17:28 ?2113次閱讀
    理解STM32控制<b class='flag-5'>中常見(jiàn)</b>的PID算法

    debug這個(gè)問(wèn)題,貫通了DC、DFT、P&amp;R

    當(dāng)PR工具導(dǎo)入scan chain的scandef,用于reorder,但是報(bào)錯(cuò)說(shuō)scan chain里面的cell在db數(shù)據(jù)庫(kù)里面沒(méi)有?難道是scandef和網(wǎng)表版本對(duì)不上?
    的頭像 發(fā)表于 10-10 15:53 ?1605次閱讀
    debug這個(gè)問(wèn)題,貫通了DC、<b class='flag-5'>DFT</b>、P&amp;R

    芯片設(shè)計(jì)測(cè)試中scan和bist的區(qū)別

    Scan stitching 是把上一步中得到的Scan DFF的Q和SI連接在一起形成scan chain。在芯片的頂層有全局的SE信號(hào),以及
    發(fā)表于 10-09 16:53 ?3461次閱讀
    芯片設(shè)計(jì)測(cè)試中<b class='flag-5'>scan</b>和bist的區(qū)別