0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于FPGA的按鍵檢測設(shè)計

CHANBAEK ? 來源:HardwareStudy ? 作者:kknote ? 2023-04-18 14:47 ? 次閱讀

電子產(chǎn)品中我們會經(jīng)常用到按鍵,比如電腦的鍵盤,手機的按鍵等等,按鍵就是人機交互的一種工具。 本文使用 FPGA 程序來檢測與按鍵對應(yīng)的 I/O口的電平高低來判斷按鍵是否按下或松開,通過點亮/點滅開發(fā)板上相應(yīng)的 LED 燈來指示按鍵的動作。

wKgaomQ-PKCAB6ZpAABKkE9F6c0340.jpg

按鍵原理圖

從原理圖可知:當(dāng)按鍵按下時,F(xiàn)PGA 的 I/O 口檢測到低電平; 當(dāng)按鍵彈起時,F(xiàn)PGA 的 I/O口檢測到高電平。

注意點:每 20ms 程序會檢查一下按鍵的狀,如果這次檢測為低電平而且上次檢測為高電平的話,說明有按鍵按下,相對應(yīng)的 LED 燈反轉(zhuǎn)。 一般按鍵按下時的按鍵抖動為低于 20ms 的脈沖信號,這些高頻脈沖信號會被濾除。 提高了按鍵的可靠性。

verilog實現(xiàn):

wKgZomQ-PKCAF--0AADxLNjWzAw517.jpg

wKgZomQ-PKCAOJhZAADcYNBo8i4066.jpg

用VHDL實現(xiàn)

wKgZomQ-PKCABQZVAAAd6dSRjJk207.jpg

wKgaomQ-PKCAc1aPAAAfKm0mjck404.jpg

wKgaomQ-PKCACuNaAAATEkQCcq8612.jpg

特別地:Verilog中的條件操作符號“?”,比如 assign c=d?a:b; 它的意思就是,當(dāng)d=1時,把a的值賦給c,否則,把b的值賦給c。 實際在VHDL中,可以用一個if-else語句來實現(xiàn)同樣的說法。

wKgZomQ-PKCAS1MsAAAEexSFibU763.jpg

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598917
  • 電子產(chǎn)品
    +關(guān)注

    關(guān)注

    6

    文章

    1118

    瀏覽量

    57887
  • led燈
    +關(guān)注

    關(guān)注

    22

    文章

    1588

    瀏覽量

    107399
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109713
  • 按鍵檢測
    +關(guān)注

    關(guān)注

    0

    文章

    22

    瀏覽量

    4812
收藏 人收藏

    評論

    相關(guān)推薦

    深入而全面:FPGA學(xué)習(xí)之獨立按鍵檢測

    幾乎沒有哪一個系統(tǒng)沒有輸入輸出設(shè)備,大到顯示器,小到led燈,輕觸按鍵。作為一個系統(tǒng),要想穩(wěn)定的工作,輸入輸出設(shè)備的性能占了很重要的角色。本實驗,小梅哥就通過一個獨立按鍵檢測實驗,來正式步入
    發(fā)表于 12-11 11:18 ?1.1w次閱讀

    基于FPGA按鍵模式的分類和如何實現(xiàn)應(yīng)用設(shè)計

    FPGA系統(tǒng)設(shè)計中,按鍵是最常見的人機交互接口部件。在沒有微控制器參與的情況下,FPGA系統(tǒng)中按鍵的功能相對較弱,通??梢詫?b class='flag-5'>按鍵抖動和
    發(fā)表于 07-20 17:13 ?2234次閱讀
    基于<b class='flag-5'>FPGA</b><b class='flag-5'>按鍵</b>模式的分類和如何實現(xiàn)應(yīng)用設(shè)計

    【ZYNQ Ultrascale+ MPSOC FPGA教程】第九章Vivado下按鍵實驗

    按鍵FPGA設(shè)計當(dāng)中最常用也是最簡單的外設(shè),本章通過按鍵檢測實驗,檢測開發(fā)板的按鍵功能是否正常
    的頭像 發(fā)表于 01-22 09:46 ?2307次閱讀
    【ZYNQ Ultrascale+ MPSOC <b class='flag-5'>FPGA</b>教程】第九章Vivado下<b class='flag-5'>按鍵</b>實驗

    【紫光同創(chuàng)國產(chǎn)FPGA教程】【第三章】按鍵檢測實驗

    通過按鍵檢測實驗,檢測開發(fā)板的按鍵功能是否正常,了解硬件描述語言和FPGA的具體關(guān)系,學(xué)習(xí)PDS View RTL Schematic的使用
    的頭像 發(fā)表于 02-02 13:22 ?4281次閱讀
    【紫光同創(chuàng)國產(chǎn)<b class='flag-5'>FPGA</b>教程】【第三章】<b class='flag-5'>按鍵</b><b class='flag-5'>檢測</b>實驗

    fpga教程之——按鍵消抖

    ...............................................111. 回顧  這次我們繼續(xù)給玩轉(zhuǎn)LED加入些新元素,使用按鍵控制LED。點亮LED是利用了FPGA輸出
    發(fā)表于 02-27 11:49

    小梅哥和你一起深入學(xué)習(xí)FPGA之獨立按鍵檢測

    設(shè)備,其與FPGA連接的IO口被接上了10K的上拉電阻,在按鍵沒有按下時,FPGA檢測到高電平;當(dāng)按鍵按下后,
    發(fā)表于 11-10 12:59

    勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載71:矩陣按鍵掃描檢測

    `勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載71:矩陣按鍵掃描檢測特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 鍵盤分編碼鍵盤和非編碼
    發(fā)表于 05-14 15:34

    梅哥和你一起深入學(xué)習(xí)FPGA之獨立按鍵檢測(下)

    關(guān)鍵詞:FPGA , 按鍵檢測 八、 仿真分析 由上圖仿真結(jié)果可知,當(dāng)有按鍵按下時,需要較長一段時間后,Key_Flag會有一個高電平脈沖,同時Key_Value更新為輸入
    發(fā)表于 09-26 07:31 ?365次閱讀

    小梅哥和你一起深入學(xué)習(xí)FPGA之獨立按鍵檢測(上)

    關(guān)鍵詞:FPGA , 按鍵檢測 幾乎沒有哪一個系統(tǒng)沒有輸入輸出設(shè)備,大到顯示器,小到led燈,輕觸按鍵。作為一個系統(tǒng),要想穩(wěn)定的工作,輸入輸出設(shè)備的性能占了很重要的角色。本實驗,小梅哥
    發(fā)表于 09-26 07:40 ?531次閱讀

    【ZYNQ Ultrascale+ MPSOC FPGA教程】第九章Vivado下按鍵實驗

    按鍵FPGA設(shè)計當(dāng)中最常用也是最簡單的外設(shè),本章通過按鍵檢測實驗,檢測開發(fā)板的按鍵功能是否正常
    發(fā)表于 01-25 08:27 ?5次下載
    【ZYNQ Ultrascale+ MPSOC <b class='flag-5'>FPGA</b>教程】第九章Vivado下<b class='flag-5'>按鍵</b>實驗

    如何進行按鍵檢測按鍵FIFO的實現(xiàn)

    ! 一、如何進行按鍵檢測 檢測按鍵有中斷方式和GPIO查詢方式兩種。推薦大家用GPIO查詢方式。 1.從裸機的角度分析 中斷方式 :中斷方式可以快速地
    的頭像 發(fā)表于 11-03 09:13 ?4676次閱讀

    PIC單片機 按鍵檢測識別

    目錄按鍵和PIC單片機一、按鍵二、按鍵的物理連接與檢測三、PIC16F18854單片機按鍵檢測
    發(fā)表于 11-16 12:36 ?12次下載
    PIC單片機 <b class='flag-5'>按鍵</b><b class='flag-5'>檢測</b>識別

    51匯編(七):按鍵檢測

    按鍵檢測原理按鍵相當(dāng)于一個開關(guān),一端連接單片機IO,一端接地;將單片機IO置高,當(dāng)按鍵按下的時候相當(dāng)于開關(guān)閉合,單片機IO接地,IO電平為低;因此,當(dāng)單片機
    發(fā)表于 11-22 20:51 ?4次下載
    51匯編(七):<b class='flag-5'>按鍵</b><b class='flag-5'>檢測</b>

    STM32速成筆記(3)—按鍵檢測

    按鍵檢測原理比較簡單,按鍵按下和不按下,其連接引腳的電平是不一樣的,按鍵檢測正是通過檢測
    的頭像 發(fā)表于 10-23 17:31 ?2528次閱讀
    STM32速成筆記(3)—<b class='flag-5'>按鍵</b><b class='flag-5'>檢測</b>

    如何在FPGA中實現(xiàn)按鍵消抖

    FPGA(現(xiàn)場可編程門陣列)中實現(xiàn)按鍵消抖是一個重要的設(shè)計環(huán)節(jié),特別是在處理用戶輸入時,由于物理按鍵的機械特性和電氣特性,按鍵在按下和釋放的瞬間會產(chǎn)生抖動現(xiàn)象,這種抖動可能導(dǎo)致系統(tǒng)錯
    的頭像 發(fā)表于 08-19 18:15 ?642次閱讀