0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

鎖存器和寄存器介紹

CHANBAEK ? 來(lái)源:HardwareStudy ? 作者:kknote ? 2023-04-18 14:56 ? 次閱讀

鎖存器和寄存器

寄存器主要由觸發(fā)器和一些控制門(mén)組成,每個(gè)觸發(fā)器能存放一位 二進(jìn)制碼,存放N位數(shù)碼,就應(yīng)該有N位觸發(fā)器。為保持觸發(fā)器能正常完成寄存器的功能,還必須用適當(dāng)?shù)拈T(mén)電路組成控制電路。

鎖存器是由電平觸發(fā)器完成的,N個(gè)電平觸發(fā)器的時(shí)鐘端連在一起,在時(shí)鐘脈沖作用下能接收N位二進(jìn)制信息。

從寄存器數(shù)據(jù)角度看,鎖存器和寄存器的功能是一樣的,其區(qū)別僅在于鎖存器是用電平觸發(fā)的,而寄存器是用邊沿觸發(fā)器觸發(fā)的,即寄存器的輸出端平時(shí)不隨輸入端的變化而變化,只有在時(shí)鐘有效時(shí),才將輸入端的數(shù)據(jù)送到輸出端;而鎖存器的輸出端平時(shí)總隨輸入端變化而變化。

寄存器是在時(shí)鐘沿進(jìn)行數(shù)據(jù)的鎖存,而鎖存器是用電平使能來(lái)鎖存數(shù)據(jù)。所以寄存器的Q輸出端在每個(gè)時(shí)鐘沿都會(huì)被更新,而鎖存器僅在使能電平有效時(shí)才被更新。在FPGA設(shè)計(jì)中建議如果不是強(qiáng)制需求鎖存器,則應(yīng)該盡量使用寄存器。

寄存器按功能可以分為兩類(lèi),即基本寄存器和移位寄存器。基本寄存器的數(shù)據(jù)只能并行的輸入或輸出;移位寄存器中的數(shù)據(jù)可以在移位脈沖作用下一次逐位右移或左移,數(shù)據(jù)既可以并行輸入并行輸出,也可以并行輸入串行輸出、串行輸入串行輸出、串行輸入并行輸出,因其數(shù)據(jù)輸入輸出方式非常靈活,所以用途非常廣泛。

鎖存器

鎖存器通常由D觸發(fā)器構(gòu)成,在數(shù)字電路中,74373是一種常用的8位鎖存器,它由使能控制端EN、數(shù)據(jù)鎖存控制端G、數(shù)據(jù)輸入端D7~D0和數(shù)據(jù)輸出端Q7~Q0構(gòu)成,其邏輯符號(hào)與其真值表如下;

poYBAGQ-PnKARe_7AAAZ5X5Mmm4712.png

例6-13] 74373鎖存器的VHDL描述。

分析:通過(guò)74373 鎖存器的真值表可以看出,當(dāng)數(shù)據(jù)鎖存控制端G=1且使能控制端EN=0時(shí),鎖存器把輸入端口D的數(shù)據(jù)送到輸出端口; 當(dāng)數(shù)據(jù)鎖存控制端G=0且使能控制端EN =0時(shí),鎖存器輸出端口將保持前一個(gè)狀態(tài);當(dāng)使能控制端EN=1時(shí),不管數(shù)據(jù)鎖存控制端G的狀態(tài)如何,這時(shí)鎖存器輸出端口將處于高阻態(tài)。編寫(xiě)74373鎖存器的VHDL程序時(shí),需先使用IF語(yǔ)句判斷EN是否等于見(jiàn) 若是,再使用IF語(yǔ)句判斷G是否等于1,如果G等于1,則將D輸入到Q中,否則Q保持前一狀態(tài);當(dāng)EN=0時(shí),則Q輸出為高阻態(tài)。74373 鎖存器的VHDL程序編寫(xiě)如下:

poYBAGQ-PnyAYlDyAAAt5BAbj0s012.png

6位串入串出移位寄存器的結(jié)構(gòu)化描述

poYBAGQ-PoOAN8O1AAAzbKZqTbg808.png

poYBAGQ-PouAANyuAAAGuvG3M2o169.png

pYYBAGQ-PpGALOamAAA9iSIXuCg856.png

poYBAGQ-PpqAGECdAAA6DT0XiE4377.png

pYYBAGQ-PqGANKxPAABGIzyjo_Q739.png

poYBAGQ-PqiAWB9nAAA0H5twqro378.png

pYYBAGQ-Pq-AVSd-AAAzUUeHfng693.png

poYBAGQ-PraAaQKcAAA9mS1Zs3Y876.png

poYBAGQ-PryAGeC8AAAykJgoIQo506.png

pYYBAGQ-PsSAcbWDAABRLS1ioSg412.png

pYYBAGQ-PtOAIDuLAAADNfASLHs608.png

poYBAGQ-PtyAMPW2AABG8jeMuwg251.png

poYBAGQ-PuOARHTnAAAN5MGcqdQ917.png

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    599003
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5253

    瀏覽量

    119212
  • 鎖存器
    +關(guān)注

    關(guān)注

    8

    文章

    903

    瀏覽量

    41317
  • 數(shù)字電路
    +關(guān)注

    關(guān)注

    193

    文章

    1579

    瀏覽量

    80181
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1990

    瀏覽量

    60873
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    FPGA的設(shè)計(jì)中為什么避免使用

    文章都對(duì)有個(gè)誤解,我們后面會(huì)詳細(xì)說(shuō)明。 這篇文章,我們包含如下內(nèi)容: ①、觸發(fā)
    的頭像 發(fā)表于 11-16 11:42 ?8187次閱讀
    FPGA的設(shè)計(jì)中為什么避免使用<b class='flag-5'>鎖</b><b class='flag-5'>存</b><b class='flag-5'>器</b>

    、觸發(fā)、寄存器和緩沖的區(qū)別

    的數(shù)據(jù)和運(yùn)算結(jié)果,它被廣泛的用于各類(lèi)數(shù)字系統(tǒng)和計(jì)算機(jī)中。其實(shí)寄存器就是一種常用的時(shí)序邏輯電路,但這種時(shí)序邏輯電路只包含存儲(chǔ)電路。寄存器的存儲(chǔ)電路是由
    發(fā)表于 10-09 16:19

    寄存器和觸發(fā)的區(qū)別

    寄存器:register:latch觸發(fā):flipflop 一、
    發(fā)表于 07-03 11:50

    觸發(fā)、寄存器三者的區(qū)別

    公共的控制信號(hào)來(lái)控制,而各個(gè)數(shù)據(jù)端口仍然是各處獨(dú)立地接收數(shù)據(jù)。這樣所構(gòu)成的能一次傳送或存儲(chǔ)多位數(shù)據(jù)的電路就稱(chēng)為“”。寄存器:在實(shí)際的數(shù)字系統(tǒng)中,通常把能夠用來(lái)存儲(chǔ)一組二進(jìn)制代碼的
    發(fā)表于 09-11 08:14

    【轉(zhuǎn)】數(shù)字電路三劍客:、觸發(fā)寄存器

    的時(shí)鐘端口連接起來(lái)就能構(gòu)成一個(gè)存儲(chǔ)n位二進(jìn)制碼的寄存器是電平觸發(fā)的存儲(chǔ)單元,數(shù)據(jù)存儲(chǔ)的動(dòng)作取決于輸入時(shí)鐘(或者使能)信號(hào)的電平值,盡當(dāng)
    發(fā)表于 10-27 22:38

    74LS595-8位輸出移位寄存器

    74LS595-8位輸出移位寄存器,常用作數(shù)碼管驅(qū)動(dòng)芯片
    發(fā)表于 01-12 17:38 ?17次下載

    如何在項(xiàng)目中使用移位寄存器

     第一個(gè)時(shí)鐘周期將其加載到A.然后,的輸出具有加載到
    發(fā)表于 07-15 10:02 ?1496次閱讀
    如何在項(xiàng)目中使用移位<b class='flag-5'>寄存器</b>

    如何使用的Time Borrowing技術(shù)來(lái)替代關(guān)鍵路徑中的寄存器

    在ASIC中用到的地方很多,Time Borrowing是使用的典型應(yīng)用之一,在深度
    的頭像 發(fā)表于 06-14 16:56 ?1662次閱讀

    、觸發(fā)、寄存器的關(guān)聯(lián)與區(qū)別及其相應(yīng)的verilog描述

    1:、觸發(fā)寄存器的關(guān)聯(lián)與區(qū)別 首先應(yīng)該明確
    的頭像 發(fā)表于 12-19 12:25 ?1.1w次閱讀

    一文詳細(xì)區(qū)分寄存器、和觸發(fā)

    你有沒(méi)有遇到過(guò)這樣奇怪的事:你一直以為自己知道某件事,但當(dāng)你試著向別人解釋它時(shí),你才發(fā)現(xiàn)自己的論述中存在漏洞和邏輯上的差異?這就是我最近所遇到的情況,當(dāng)時(shí)有人問(wèn)我和觸發(fā)之間的區(qū)
    的頭像 發(fā)表于 01-30 15:21 ?3058次閱讀

    寄存器的工作原理

    或觸發(fā)構(gòu)成的,因?yàn)橐粋€(gè)或觸發(fā)
    發(fā)表于 02-20 14:02 ?7994次閱讀

    什么是寄存器有何區(qū)別

    (Latch)是一種基本的數(shù)字電路元件,用于存儲(chǔ)二進(jìn)制數(shù)字的狀態(tài)信息,并能夠在需要時(shí)通過(guò)加電或控制信號(hào)的作用保持狀態(tài)。它通常由幾個(gè)邏輯門(mén)組成,可以實(shí)現(xiàn)簡(jiǎn)單的存儲(chǔ)、移位、計(jì)數(shù)等功能。
    的頭像 發(fā)表于 04-09 18:45 ?9205次閱讀

    具有3態(tài)輸出的8位寄存器收發(fā)數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《具有3態(tài)輸出的8位寄存器收發(fā)數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 05-13 10:38 ?0次下載
    具有3態(tài)輸出的8位<b class='flag-5'>鎖</b><b class='flag-5'>存</b><b class='flag-5'>寄存器</b>收發(fā)數(shù)據(jù)表

    帶輸入的8位移位寄存器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《帶輸入的8位移位寄存器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 05-13 11:15 ?0次下載
    帶輸入<b class='flag-5'>鎖</b><b class='flag-5'>存</b><b class='flag-5'>器</b>的8位移位<b class='flag-5'>寄存器</b>數(shù)據(jù)表

    、觸發(fā)寄存器的區(qū)別

    在數(shù)字電路和計(jì)算機(jī)系統(tǒng)中,、觸發(fā)寄存器都是關(guān)鍵的存儲(chǔ)元件,它們?cè)诠δ堋⒔Y(jié)構(gòu)和使用場(chǎng)景上存在一定的差異。本文將對(duì)這三者進(jìn)行詳細(xì)的
    的頭像 發(fā)表于 05-23 15:28 ?1383次閱讀