0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Chiplet為后摩爾時(shí)代提升芯片算力與集成度的重要途徑

智能制造IMS ? 來源:東莞證券 ? 2023-04-24 14:20 ? 次閱讀

1、Chiplet是國(guó)內(nèi)半導(dǎo)體彎道超車的重要途徑,市場(chǎng)規(guī)模有望快速增長(zhǎng)

1.1、Chiplet為后摩爾時(shí)代提升芯片算力與集成度的重要途徑

摩爾定律指引過去幾十年集成電路產(chǎn)業(yè)發(fā)展。摩爾定律由英特爾創(chuàng)始人之一的戈登·摩 爾(Gordon Moore)提出,其核心內(nèi)容為:在價(jià)格不變時(shí),集成電路上可以容納的晶體 管數(shù)量每 18-24 個(gè)月便會(huì)增加一倍,即:處理器性能大約每?jī)赡攴槐叮瑫r(shí)價(jià)格下降 為之前的一半。在過去幾十年,摩爾定律指引著集成電路產(chǎn)業(yè)發(fā)展。隨著工藝進(jìn)步,集 成電路上晶體管密度不斷提升,驅(qū)動(dòng)計(jì)算機(jī)性能保持幾何級(jí)數(shù)增長(zhǎng),而性能的快速提升 則推動(dòng)芯片價(jià)格迅速下降。

“摩爾定律”發(fā)展陷入瓶頸,集成電路進(jìn)入后摩爾時(shí)代。從 1987 年的 1um 制程至 2015 年的 14nm 制程,集成電路制程迭代大致符合“摩爾定律”的規(guī)律。但自 2015 年以來, 集成電路先進(jìn)制程的發(fā)展開始放緩,7nm、5nm、3nm 制程的量產(chǎn)進(jìn)度均落后于預(yù)期。隨 著臺(tái)積電宣布 2nm 制程工藝實(shí)現(xiàn)突破,集成電路制程工藝已接近物理尺寸的極限,摩爾 定律發(fā)展陷入瓶頸,行業(yè)進(jìn)入了“后摩爾時(shí)代”。

Chiplet 可在不改變制程的前提下提升算力,且保證芯片良率。Chiplet 俗稱“芯粒”, 又稱“小芯片組”,從字面意義上可以理解為“粒度更小的芯片”。它是將一類滿足特 定功能的 die,通過 die-to-die 內(nèi)部互聯(lián)技術(shù)實(shí)現(xiàn)多個(gè)模塊芯片與底層基礎(chǔ)芯片封裝 在一起,進(jìn)而形成一個(gè)系統(tǒng)芯片。它可以有效提升芯片的集成度,是在不改變制程的前 提下提升算力,并且保證芯片生產(chǎn)良率的一種手段。

2ae82d9c-deb4-11ed-bfe3-dac502259ad0.png

資料來源:EE Times,東莞證券研究所

與傳統(tǒng) SoC 相比,Chiplet 在設(shè)計(jì)靈活度、設(shè)計(jì)與生產(chǎn)成本、上市周期等方面優(yōu)勢(shì)明顯。傳統(tǒng) SoC,即系統(tǒng)級(jí)單芯片,是將多個(gè)負(fù)責(zé)不同類型計(jì)算任務(wù)的計(jì)算單元,通過光刻的 形式制作到同一塊晶圓上。隨著摩爾定律放緩,傳統(tǒng)的 SoC 的生產(chǎn)周期越來越長(zhǎng),在成 本大幅增加的情況下性能提升幅度有限,行業(yè)接近制造瓶頸;與 SoC 不同,Chiplet 是 將一塊原本復(fù)雜的 SoC 芯片,從設(shè)計(jì)時(shí)就先按照不同的計(jì)算單元或功能單元對(duì)其進(jìn)行分 解,然后每個(gè)單元選擇最適合的半導(dǎo)體制程工藝進(jìn)行分別制造,再通過先進(jìn)封裝技術(shù)將 各個(gè)單元彼此互聯(lián),最終集成封裝為一個(gè)系統(tǒng)級(jí)芯片組。

相比傳統(tǒng)的 SoC,Chiplet 能夠有效降低研發(fā)、設(shè)計(jì)與制造成本,并顯著提升芯片良率。英特爾公司高級(jí)副總裁、中國(guó)區(qū)董事長(zhǎng)王銳在 2022 世界集成電路大會(huì)上表示,Chiplet 技術(shù)是產(chǎn)業(yè)鏈生產(chǎn)效率進(jìn)一步優(yōu)化的必然選擇。“不但提高芯片制造良品率,利用最合 適的工藝滿足數(shù)字、模擬、射頻、I/O 等不同技術(shù)需求,而且更將大規(guī)模的 SoC 按照不 同的功能,分解為模塊化的芯粒,減少重復(fù)的設(shè)計(jì)和驗(yàn)證環(huán)節(jié),大幅度降低設(shè)計(jì)復(fù)雜程 度,提高產(chǎn)品迭代速度。且有利于后續(xù)的產(chǎn)品迭代,縮短上市周期。”

Chiplet 優(yōu)勢(shì)一:降低芯片設(shè)計(jì)的復(fù)雜程度,有效降低研發(fā)與設(shè)計(jì)成本。Chiplet 芯粒 設(shè)計(jì)靈活,且可重復(fù)使用,通過將已知的合格芯片裸片進(jìn)行集成,能夠縮短芯片的研發(fā) 與設(shè)計(jì)周期,降低研發(fā)設(shè)計(jì)成本。據(jù)悉,設(shè)計(jì) 28nm 芯片的平均成本為 4,000 萬美元, 設(shè)計(jì) 7nm 芯片的成本上升至 2.17 億美元。而 The Linley Group 的白皮書《Chiplets Gain Rapid Adoption:Why Big Chips Are Getting Small》中提出,Chiplet 技術(shù)可以將大 型 7nm 設(shè)計(jì)的成本降低 25%。

Chiplet 優(yōu)勢(shì)二:提升良率。SoC 將多個(gè)不同類型計(jì)算任務(wù)的計(jì)算單元以光刻形式集成 在同一片晶圓上,隨著先進(jìn)制程不斷推進(jìn),單位面積上集成的晶體管數(shù)量越來越多,設(shè) 計(jì)周期越來越廠,芯片面積也在加大。高性能計(jì)算等領(lǐng)域巨大運(yùn)算需求推動(dòng)邏輯芯片運(yùn) 算核心數(shù)量上升,配套 SRAM 容量、I/O 數(shù)量隨之提升。隨著芯片面積的加大和集成的 晶體管數(shù)量增多,對(duì)制造過程中的芯片良率提出較高挑戰(zhàn),讓芯片生產(chǎn)中的工藝誤差和 加工缺陷顯得愈發(fā)明顯,一個(gè)微小的缺陷就可能導(dǎo)致整個(gè)大芯片報(bào)廢。Chiplet 技術(shù)將 大芯片分割成不同功能模塊進(jìn)行獨(dú)立制造,通過將廣泛的、成熟的芯片裸片進(jìn)行集成, 只需保障各個(gè)被集成的成熟芯片良率即可,能夠降低先進(jìn)制程的研發(fā)與制造風(fēng)險(xiǎn),有效 提升良率。

2b2abc7a-deb4-11ed-bfe3-dac502259ad0.png

資料來源:臺(tái)積電法說會(huì),東莞證券研究所

Chiplet 優(yōu)勢(shì)三:大幅降低芯片制造成本。SoC 中的邏輯計(jì)算單元對(duì)性能要求高,整體 依賴先進(jìn)制程,具有極高的生產(chǎn)壁壘與制造成本;Chiplet 方案則可針對(duì)不同的模塊采取不同的合適的制程,分開制造,最后采用先進(jìn)封裝技術(shù)進(jìn)行組裝,能大幅降低芯片的 制造成本。

后摩爾時(shí)代,Chiplet 成為提高芯片算力與集成度的重要途徑。后摩爾時(shí)代,隨著集成 度提升,SoC 開始在供電、功耗和散熱等方面面臨挑戰(zhàn),芯片全流程設(shè)計(jì)成本大幅增加, 而制程工藝接近極限,每次迭代升級(jí)都需要付出極大的額外成本,而隨著性能提升,發(fā) 熱功耗等方面的問題也日益凸顯,繼續(xù)追求極致工藝的意義已經(jīng)不大。Chiplet 作為當(dāng) 下較受關(guān)注的半導(dǎo)體發(fā)展方向,可有效降低能夠有效降低芯片設(shè)計(jì)與制造的門檻,提升 良率和節(jié)約成本,因此成為摩爾定律趨緩背景下提升芯片集成度與算力的重要途徑。

1.2、海外科技領(lǐng)域制裁加劇,Chiplet助力國(guó)內(nèi)半導(dǎo)體產(chǎn)業(yè)彎道超車

海外科技領(lǐng)域制裁加劇,國(guó)內(nèi)半導(dǎo)體先進(jìn)制程發(fā)展受限。近年來中美摩擦加劇,美國(guó)針 對(duì)中國(guó)在高科技領(lǐng)域的限制增多,企圖通過加大制裁力度來限制國(guó)內(nèi)集成電路產(chǎn)業(yè)發(fā)展。2020 年 12 月,美國(guó)將中芯國(guó)際列入“實(shí)體清單”,限制企業(yè) 14nm 及以下半導(dǎo)體制程的 擴(kuò)產(chǎn);2022 年 8 月,美國(guó)簽署《芯片與科學(xué)法案》,主要用于增強(qiáng)美國(guó)本土晶圓廠的競(jìng) 爭(zhēng)力,并明確規(guī)定獲得美國(guó)政府補(bǔ)貼的企業(yè),10 年內(nèi)不得在中國(guó)大陸擴(kuò)產(chǎn) 28nm 以下的 芯片制造?!缎酒ò浮返暮炇?,進(jìn)一步加劇了中美在高科技領(lǐng)域的脫鉤程度,導(dǎo)致國(guó) 內(nèi)芯片先進(jìn)制程發(fā)展受到限制。

先進(jìn)制程是提高算力的必要途徑,臺(tái)積電先進(jìn)制程占比不斷提高。近年來,人工智能、 大數(shù)據(jù)、自動(dòng)駕駛和 AIoT 等下游應(yīng)用的不斷發(fā)展,對(duì)芯片處理與運(yùn)算能力提出更高的 要求,高性能、低功耗成為先進(jìn)制程的發(fā)展方向。從全球晶圓代工龍頭臺(tái)積電的各制程 營(yíng)收占比來看,近年來臺(tái)積電先進(jìn)制程營(yíng)收占比不斷提升:2022 年前三季度,臺(tái)積電實(shí) 現(xiàn)營(yíng)收 6,131.4 億元新臺(tái)幣,其中 5nm 制程占比 28%,7nm 制程占比 26%。而分地區(qū)看, 近年來臺(tái)積電來自大陸市場(chǎng)的營(yíng)收占比有所下滑。2022 年,美國(guó)市場(chǎng)成為臺(tái)積電最大來 源,營(yíng)收規(guī)模達(dá) 1.49 萬億新臺(tái)幣,占比 65.96%,中國(guó)大陸市場(chǎng)營(yíng)收 2,451.68 億元,占 比 10.82%,相比 2020 年的 17.45%大幅下滑。

2b8eb0fe-deb4-11ed-bfe3-dac502259ad0.png

資料來源:Omdia,東莞證券研究所

海外制裁加劇,Chiplet 有望助力國(guó)內(nèi)半導(dǎo)體產(chǎn)業(yè)實(shí)現(xiàn)彎道超車。通過先進(jìn)制程可實(shí)現(xiàn) 芯片性能的迅速提升,因此全球晶圓代工龍頭先進(jìn)制程占比不斷提升,而中國(guó)大陸先進(jìn) 制程發(fā)展受到限制。Chiplet 技術(shù)僅對(duì)核心 Chip 采用先進(jìn)制程,其他如存儲(chǔ)芯片、I/O 芯片采用成熟制程,有效降低對(duì)先進(jìn)制程的依賴,有望成為我國(guó)半導(dǎo)體產(chǎn)業(yè)彎道超車的 突破口。2022 年 12 月,我國(guó)第一個(gè)原生 Chiplet 技術(shù)標(biāo)準(zhǔn)——《小芯片接口總線技術(shù) 要求》團(tuán)體標(biāo)準(zhǔn)正式發(fā)布,這個(gè)標(biāo)準(zhǔn)涵蓋了多種應(yīng)用場(chǎng)景的小芯片接口總線技術(shù)要求, 包括總體概述、接口要求、鏈路層、適配層、物理層和封裝要求等,能夠靈活應(yīng)對(duì)不同 的應(yīng)用場(chǎng)景、適配不同能力的技術(shù)供應(yīng)商?!犊偩€技術(shù)要求》的發(fā)布,對(duì)于我國(guó)集成電 路產(chǎn)業(yè)延續(xù)“摩爾定律”,打破先進(jìn)制程限制因素,提升產(chǎn)業(yè)綜合競(jìng)爭(zhēng)力,具有重要意 義。

1.3、新互聯(lián)標(biāo)準(zhǔn)提供行業(yè)規(guī)范,Chiplet市場(chǎng)規(guī)模有望快速擴(kuò)張

新互聯(lián)標(biāo)準(zhǔn) UCle 出臺(tái),為集成不同芯片提供標(biāo)準(zhǔn)與技術(shù)支持。盡管 Chiplet 優(yōu)勢(shì)明顯, 但由于過去 Chiplet 互聯(lián)標(biāo)準(zhǔn)并不統(tǒng)一,各類 Chiplet 產(chǎn)品接口有所不同,無法“拼接” 在一起,因此行業(yè)發(fā)展受到制約。2022 年 3 月,AMD、英特爾、臺(tái)積電、三星、美光、 微軟、Meta、Google 等十余家半導(dǎo)體、互聯(lián)網(wǎng)行業(yè)巨頭聯(lián)合成立了 Chiplet 標(biāo)準(zhǔn)聯(lián)盟, 正式推出了通用 Chiplet 高速互聯(lián)標(biāo)準(zhǔn)“Universal Chiplet Interconnect Express” (通用芯粒互連,簡(jiǎn)稱“UCIe”),旨在定義一個(gè)開放、可互操作的 Chiplet 生態(tài)系統(tǒng) 標(biāo)準(zhǔn)。業(yè)內(nèi)巨頭聯(lián)手推動(dòng) Chiplet 接口規(guī)范的標(biāo)準(zhǔn)化,以實(shí)現(xiàn) Chiplet 在封裝級(jí)別的普 遍互聯(lián),構(gòu)建開放的 Chiplet 生態(tài)系統(tǒng),有助于 Chiplet 行業(yè)快速發(fā)展。

UCle 是唯一具有裸片間接口堆棧的標(biāo)準(zhǔn),成為 Chiplet 設(shè)計(jì)的首選標(biāo)準(zhǔn)。此前為應(yīng)對(duì) Chiplet 設(shè)計(jì)中所面臨的挑戰(zhàn),行業(yè)出現(xiàn)了集中不同的標(biāo)準(zhǔn),但 UCle 是唯一具有完整裸 片間接口堆棧的標(biāo)準(zhǔn),其他標(biāo)準(zhǔn)都沒有為協(xié)議棧提供完整裸片間接口的全面規(guī)范,大多 僅關(guān)注在特定層。而且 UCIe 支持 2D、2.5D 和橋接封裝,預(yù)計(jì)未來還會(huì)支持 3D 封裝。新思科技(Synosys)指出,Ucle 的堆棧本身擁有三層:協(xié)議層:最上層為協(xié)議層,通過基于流量控制單元(FLIT)的協(xié)議實(shí)現(xiàn),確保最大效率 和降低延遲,支持最流行的協(xié)議,包括 PCI Express(PCIe)、Compute Express Link (CXL)和/或用戶定義的流協(xié)議;

中間層:用于對(duì)協(xié)議進(jìn)行仲裁與協(xié)商,以及通過裸片間適配器進(jìn)行連接管理。基于循環(huán)冗余檢查(CRC)和重試機(jī)制,該層還包括可選的錯(cuò)誤糾正功能;物理層:定義了與封裝介質(zhì)的電氣接口,是電氣模擬前端(AFE)、發(fā)射器和接收器以 及邊帶通道允許兩個(gè)裸片之間進(jìn)行參數(shù)交換與協(xié)商的層級(jí)。邏輯 PHY 實(shí)現(xiàn)了連接初始化、 訓(xùn)練和校準(zhǔn)算法,以及測(cè)試和修復(fù)功能。

2bb95778-deb4-11ed-bfe3-dac502259ad0.png

資料來源:Yole,東莞證券研究所

國(guó)內(nèi)廠商紛紛加入,直接受益于 Chiplet 發(fā)展趨勢(shì)。Ucle 的提出,為集成不同制程工藝、 不同廠商、不同技術(shù)的芯片提供了標(biāo)準(zhǔn)與技術(shù)支持,讓晶圓代工廠可以對(duì)不同類型的芯 片進(jìn)行集成,有助于 Chiplet 行業(yè)快速走向成熟。經(jīng)過數(shù)年發(fā)展,Chiplet 技術(shù)已逐漸 走向商用,成為芯片廠商較為依賴的技術(shù)手段,也被認(rèn)為是未來芯片行業(yè)發(fā)展的重要方 向。截至目前,芯原股份、長(zhǎng)電科技、OPPO、阿里巴巴等眾多國(guó)內(nèi)知名企業(yè)已加入 UCle 聯(lián)盟中,直接受益于相關(guān)技術(shù)標(biāo)準(zhǔn),共同構(gòu)建 Chiplet 生態(tài)體系,助力行業(yè)快速發(fā)展。

Chiplet 市場(chǎng)規(guī)模不斷擴(kuò)張,預(yù)計(jì) 2034 年有望達(dá)到 570 億美元。Chiplet 可在一定程度 上避免摩爾定律放緩的窘境,全球半導(dǎo)體龍頭企業(yè)積極推進(jìn),市場(chǎng)規(guī)模有望實(shí)現(xiàn)高速增 長(zhǎng)。Omdia 指出,2018 年全球 chiplet 市場(chǎng)規(guī)模約為 6.45 億美元,至 2024 年將達(dá)到 58 億美元,預(yù)計(jì)到 2035 年有望突破 570 億美元,2018-2035 年復(fù)合增長(zhǎng)率超過 30%。

2、Chiplet技術(shù)持續(xù)推進(jìn),先進(jìn)封裝、IC載板、半導(dǎo)體IP等多環(huán)節(jié)受益

2.1、Chiplet拉動(dòng)先進(jìn)封裝、半導(dǎo)體測(cè)試需求

近年我國(guó)封測(cè)產(chǎn)業(yè)穩(wěn)步發(fā)展,行業(yè)增速高于全球平均水平。封測(cè)行業(yè)位于半導(dǎo)體生產(chǎn)制 造環(huán)節(jié)的下游,需要大量的設(shè)備與人員投入,屬于資本密集型、人員密集型產(chǎn)業(yè)。過去 十余年,在半導(dǎo)體產(chǎn)業(yè)轉(zhuǎn)移、人力資源成本優(yōu)勢(shì)、稅收優(yōu)惠等因素促進(jìn)下,全球集成電 路封測(cè)產(chǎn)能逐步向亞太地區(qū)轉(zhuǎn)移,目前亞太地區(qū)占據(jù)全球約 80%集成電路封測(cè)產(chǎn)能。近 年來,全球集成電路封測(cè)產(chǎn)業(yè)進(jìn)入穩(wěn)步發(fā)展期,2014-2021 年行業(yè)市場(chǎng)規(guī)模復(fù)合增長(zhǎng)率 為 4.27%,而我國(guó)受益于下游智能手機(jī)等終端應(yīng)用的蓬勃發(fā)展,封測(cè)產(chǎn)業(yè)增速領(lǐng)先全球。據(jù)中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)數(shù)據(jù)統(tǒng)計(jì),中國(guó)集成電路封測(cè)業(yè)年度銷售額從 2014 年的 1,256 億美元增至 2021 年的 2,763 億美元,2014-2021 年符合增長(zhǎng)率約為 11.92%,遠(yuǎn)高于同 期全球平均水平,隨著下游應(yīng)用持續(xù)發(fā)展以及先進(jìn)封裝工藝不斷進(jìn)步,國(guó)內(nèi)封測(cè)行業(yè)成 長(zhǎng)空間廣闊。

本土 IC 設(shè)計(jì)企業(yè)增多,增大下游封測(cè)需求。我國(guó)的集成電路設(shè)計(jì)產(chǎn)業(yè)發(fā)展起點(diǎn)較低, 但依靠著巨大的市場(chǎng)需求和良好的產(chǎn)業(yè)政策環(huán)境等有利因素,已成為全球集成電路設(shè)計(jì) 產(chǎn)業(yè)的新生力量。從產(chǎn)業(yè)規(guī)模來看,我國(guó)大陸集成電路設(shè)計(jì)行業(yè)銷售規(guī)模從 2010 年的 550.0 億元增長(zhǎng)至 2022 年的 5,345.7 億美元,年復(fù)合增長(zhǎng)率約為 20.87%;而本土產(chǎn)業(yè) 鏈的逐步完善,也為國(guó)內(nèi)初創(chuàng)芯片設(shè)計(jì)公司提供了晶圓制造支持,疊加產(chǎn)業(yè)資金與政策 支持,以及海外人才回流,我國(guó)芯片設(shè)計(jì)公司數(shù)量快速增加。據(jù)中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)數(shù) 據(jù),自 2010 年以來,我國(guó)芯片設(shè)計(jì)公司數(shù)量大幅提升,2010 年僅為 582 家,2022 年增 長(zhǎng)至 3,243 家,2010-2022 年年均復(fù)合增長(zhǎng)率約為 15.39%。不斷擴(kuò)大的集成電路設(shè)計(jì)市 場(chǎng)規(guī)模與不斷增加的 IC 設(shè)計(jì)企業(yè)數(shù)量也增大對(duì)下游半導(dǎo)體封裝、測(cè)試需求,推動(dòng)我國(guó) 集成電路封測(cè)產(chǎn)業(yè)發(fā)展。

2be299a8-deb4-11ed-bfe3-dac502259ad0.png

資料來源:中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)集成電路設(shè)計(jì)分會(huì)

封測(cè)為我國(guó)集成電路領(lǐng)域最具競(jìng)爭(zhēng)力環(huán)節(jié),共有四家廠商營(yíng)收進(jìn)入全球前十。目前我國(guó) 集成電路領(lǐng)域整體國(guó)產(chǎn)自給率較低,尤其是在半導(dǎo)體設(shè)備、材料與晶圓制造等環(huán)節(jié),與 國(guó)際領(lǐng)先水平差距較大,而封測(cè)為我國(guó)集成電路領(lǐng)域最具國(guó)際競(jìng)爭(zhēng)力的環(huán)節(jié)。近年來, 以長(zhǎng)電為代表的幾家國(guó)內(nèi)封測(cè)龍頭企業(yè)通過自主研發(fā)和并購重組,在先進(jìn)封裝領(lǐng)域不斷 發(fā)力,現(xiàn)已具備較強(qiáng)的市場(chǎng)競(jìng)爭(zhēng)力,有能力參與國(guó)際市場(chǎng)競(jìng)爭(zhēng)。據(jù)芯思想研究院,2022, 中國(guó)大陸有 4 家企業(yè)進(jìn)入全球封測(cè)廠商前十名,分別為長(zhǎng)電科技、通富微電、華天科技 和智路封測(cè)、全年?duì)I收分列全球第 3、第 4、第 6 和第 7 位。

后摩爾時(shí)代,先進(jìn)封裝成為提升芯片性能的重要途徑?!昂竽枙r(shí)代”制程技術(shù)突破難 度較大,工藝制程受成本大幅增長(zhǎng)和技術(shù)壁壘等因素,進(jìn)步速度放緩。據(jù)市場(chǎng)調(diào)研機(jī)構(gòu) ICInsights 統(tǒng)計(jì),28nm 制程節(jié)點(diǎn)的芯片開發(fā)成本為 5,130 萬美元,16nm 節(jié)點(diǎn)的開發(fā)成 本為 1 億美元,7nm 節(jié)點(diǎn)的開發(fā)成本需要 2.97 億美元,而 5nm 節(jié)點(diǎn)開發(fā)成本則上升至 5.4 億美元。從產(chǎn)品開發(fā)角度,產(chǎn)品進(jìn)入到大規(guī)模量產(chǎn)前需要多次流片驗(yàn)證,帶來費(fèi)用 支出成倍增加。由于集成電路制程工藝短期內(nèi)難以突破,且制程升級(jí)對(duì)芯片性能提升的 邊際收益有所收窄,通過先進(jìn)封裝技術(shù)提升芯片整體性能成為了集成電路行業(yè)的一個(gè)重 要發(fā)展趨勢(shì)。

先進(jìn)封裝相比傳統(tǒng)封裝優(yōu)勢(shì)明顯。先進(jìn)封裝是相對(duì)傳統(tǒng)封裝提出來的概念。傳統(tǒng)封裝主 要是以引線框架作為載體,采用引線鍵合互聯(lián)的形式進(jìn)行封裝,包含 DIP、SOP、SOT、 DFN、BGA 等封裝形式;先進(jìn)封裝指當(dāng)下最前沿的封裝形式與技術(shù),目前帶有倒裝芯片 (Flip Chip,F(xiàn)C)結(jié)構(gòu)的封裝、晶圓級(jí)封裝(Wafer Level Package,WLP)、2.5D 封 裝、3D 封裝等被認(rèn)為屬于先進(jìn)封裝的范疇。

隨著先進(jìn)制程持續(xù)演進(jìn),以及電子產(chǎn)品不斷向小型化、高速化、系統(tǒng)化與低成本化發(fā)展, 傳統(tǒng)封裝的局限性愈發(fā)突出。與傳統(tǒng)封裝相比,先進(jìn)封裝能夠提升芯片的集成密度與互 聯(lián)速度,有效降低設(shè)計(jì)門檻,優(yōu)化功能搭配的靈活性,能夠增強(qiáng)芯片性能,并改善散熱 和可靠性,因此在高端邏輯芯片、存儲(chǔ)芯片、射頻、圖像處理和觸控芯片等領(lǐng)域得到廣 泛應(yīng)用。Yole 指出,2019 年全球先進(jìn)封裝市場(chǎng)規(guī)模占總封裝市場(chǎng)比重約為 42.60%,預(yù) 計(jì) 2019-2025 年將以 6.6%的年均復(fù)合增長(zhǎng)率持續(xù)增長(zhǎng),至 2025 年先進(jìn)封裝占整個(gè)封裝 市場(chǎng)的比重有望接近 50%。

2c4eedd8-deb4-11ed-bfe3-dac502259ad0.png

資料來源:IBS,東莞證券研究所

先進(jìn)封裝技術(shù)是 Chiplet 的基礎(chǔ),Chiplet 方案大概率會(huì)采用先進(jìn)封裝,推動(dòng)先進(jìn)封裝 發(fā)展。Chiplet 具有成本低、周期短、良率高等優(yōu)點(diǎn),其核心是實(shí)現(xiàn)芯片間的高速互聯(lián), 且兼顧多種芯片互聯(lián)后的重新布線,為實(shí)現(xiàn)既定性能,對(duì) Chiplet 之間的布線密度、信 號(hào)傳輸質(zhì)量提出較高要求,封裝加工精度與難度進(jìn)一步加大,并且要考慮散熱和功率分配等問題。因此,Chiplet 技術(shù)因此需要高密度、大帶寬的先進(jìn)封裝技術(shù)提供硬件支持, 大概率采用先進(jìn)封裝方案,如 SiP(系統(tǒng)級(jí)封裝技術(shù))、RDL(晶圓重布線技術(shù))、Bumping (晶圓凸點(diǎn)工藝)、Fan-in/out(扇入/扇出式封裝)等。

Chiplet 提升半導(dǎo)體測(cè)試需求,利好下游封測(cè)廠商、半導(dǎo)體獨(dú)立測(cè)試廠商和測(cè)試設(shè)備供 應(yīng)商。Chiplet 通過將多個(gè)裸芯(die)進(jìn)行堆疊合封的先進(jìn)封裝,通常使用較為復(fù)雜的 芯片。由于在 Chiplet 中封裝了多個(gè) die,為確保正常運(yùn)行,需要對(duì) Chiplet 進(jìn)行全檢, 以確保每一個(gè)裸芯片都能正常工作,此外需通過邊界掃描(Boundary Scan)測(cè)試,才能 確保多個(gè)裸芯(die)互聯(lián)的可靠性。

中芯國(guó)際在其 2020 年的技術(shù)發(fā)展性報(bào)告中說道:以 Chiplet 技術(shù)生產(chǎn)芯片的可測(cè)試性是一個(gè)挑戰(zhàn),特別是一旦這些小系統(tǒng)被封裝在一起, 只有數(shù)量較少的測(cè)試引線可以延伸到封裝外;因此,測(cè)試必須分階段進(jìn)行,先測(cè)試單個(gè) 的芯片,然后測(cè)試封裝后的完整系統(tǒng)。由此可見,Chiplet 既要對(duì)每一個(gè)裸芯片進(jìn)行測(cè) 試,也要對(duì)裸芯片下的互聯(lián)進(jìn)行測(cè)試,因此會(huì)增大對(duì)半導(dǎo)體封測(cè)、半導(dǎo)體封測(cè)設(shè)備的需 求。并對(duì)測(cè)試設(shè)備的數(shù)量和性能都提出更高要求,利好封測(cè)企業(yè)、半導(dǎo)體獨(dú)立測(cè)試廠商與半導(dǎo)體測(cè)試設(shè)備供應(yīng)商。

全球、國(guó)內(nèi)大廠積極布局 Chiplet 先進(jìn)封裝,共同推動(dòng)封測(cè)產(chǎn)業(yè)發(fā)展。Chiplet 優(yōu)勢(shì)顯 著,提高對(duì)先進(jìn)封裝與測(cè)試需求,國(guó)內(nèi)及全球 OSAT 廠、晶圓代工大廠積極布局支持 Chiplet 方案的先進(jìn)封裝,目前已取得初步成果。國(guó)內(nèi)方面,長(zhǎng)電科技 XDFOI 平臺(tái)以 2.5D 無 TSV 為基本技術(shù)平臺(tái),并于 2023 年 1 月宣布,XDFOI Chiplet 高密度度多維異構(gòu)集成 系列工藝已按計(jì)劃進(jìn)入穩(wěn)定量產(chǎn)階段,基于利用有機(jī)重布線堆疊中介層可實(shí)現(xiàn) 2D/2.5D/3D 集成,并已實(shí)現(xiàn)國(guó)際客戶 4nm 多芯片系統(tǒng)集成封裝產(chǎn)品出貨;

通富微電與 AMD 合作緊密,利用次微米級(jí)硅中介層以 TSV 將多芯片整合于單一封裝,已實(shí)現(xiàn) 7nm 量 產(chǎn),5nm 有望于 22H2 實(shí)現(xiàn)小規(guī)模試產(chǎn);華天科技于 3 月 28 日晚間公告,公司全資子公 司華天江蘇擬投資 28.58 億元,進(jìn)行“高密度高可靠性先進(jìn)封測(cè)研發(fā)及產(chǎn)業(yè)化”項(xiàng)目的 建設(shè)。項(xiàng)目建成投產(chǎn)后形成 Bumping84 萬片、WLCSP48 萬片、超高密度扇出 UHDFO 2.6 萬片的晶圓級(jí)集成電路年封測(cè)能力。

2c84f374-deb4-11ed-bfe3-dac502259ad0.png

資料來源:觀研天下,東莞證券研究所

2.2、IC載板是先進(jìn)封裝的關(guān)鍵材料,國(guó)產(chǎn)替代前景廣闊

IC 載板是先進(jìn)封裝的關(guān)鍵材料,下游應(yīng)用廣泛。IC 封裝基板(IC Package Substrate, 又稱 IC 載板)是先進(jìn)封裝所采用的一種關(guān)鍵專用基礎(chǔ)材料,它用于建立 IC 與 PCB 之間 的信號(hào)連接,此外還起到保護(hù)、支撐、散熱以及形成標(biāo)準(zhǔn)化的安裝尺寸的作用。IC 載板 作為一種高端 PCB 板,具有高密度、高精度、小型化和輕薄化的特點(diǎn),廣泛應(yīng)用于移動(dòng) 終端、通信設(shè)備、服務(wù)/儲(chǔ)存等下游應(yīng)用領(lǐng)域。

價(jià)值占比:IC 載板為半導(dǎo)體封裝中價(jià)值量占比最大的耗材。半導(dǎo)體封裝中所用耗材種類 較多,包括封裝基板、引線框架、鍵合線、封裝樹脂、陶瓷封裝和芯片粘接等,其中封 裝基板占比最高,價(jià)價(jià)值占比接近一半,連接線/鍵合線、引線框架、封裝樹脂和其他 材料占比分別為 46%、13%、10%和 15%。IC 載板行業(yè)壁壘高于普通 PCB 產(chǎn)品。

IC 載板具有高精度、高密度、高性能、小型化及輕薄化等特點(diǎn),在各種技術(shù)參數(shù)上要求較高,尤其是最核心的線寬/線距參數(shù)要遠(yuǎn)小于 其他種類 PCB 產(chǎn)品,因此具有較高的技術(shù)門檻;資金投入方面,相較其他 PCB 品類,IC 載板產(chǎn)線在投產(chǎn)前研發(fā)投入巨大且用時(shí)良久,在產(chǎn)線建設(shè)、后續(xù)運(yùn)營(yíng)等方面也需要巨大 資金持續(xù)投入,尤其是需要巨額的設(shè)備采購支出;客戶壁壘方面,IC 載板客戶認(rèn)證體系 較普通 PCB 產(chǎn)品更嚴(yán)格,業(yè)內(nèi)通常采用合格供應(yīng)商認(rèn)證制度,認(rèn)證過程復(fù)雜且周期較長(zhǎng), 由此可見,相比其他 PCB 品類,IC 載板具有更高的技術(shù)門檻、資金壁壘和客戶認(rèn)證壁壘。

ABF/BT 載板原材料被海外企業(yè)壟斷,原料供應(yīng)瓶頸制約行業(yè)產(chǎn)能擴(kuò)張。按照封裝材料不 同,IC 載板可分為硬質(zhì)基板、柔性基板和 IC 載板,硬質(zhì)基板又可進(jìn)一步分為 BT、ABF 和 MIS 載板。目前 ABF 載板與 BT 載板生產(chǎn)所需的重要原材料——ABF/BT 有機(jī)樹脂被日 本頭部企業(yè)壟斷,原材料供給較為緊缺。主要原材料的供應(yīng)短板也制約了行業(yè)產(chǎn)能擴(kuò)張, 預(yù)計(jì)行業(yè)供不應(yīng)求局面有望持續(xù)較長(zhǎng)時(shí)間。

2ccb9d7e-deb4-11ed-bfe3-dac502259ad0.png

資料來源:Omdia,東莞證券研究所

行業(yè)競(jìng)爭(zhēng)格局:日、韓、臺(tái)份額絕對(duì)領(lǐng)先,大陸企業(yè)占比較低。從 IC 載板發(fā)展過程看, 行業(yè)基本遵循“日本-韓國(guó)-中國(guó)臺(tái)灣-中國(guó)大陸”的產(chǎn)業(yè)轉(zhuǎn)移路徑。目前全球 IC 載板產(chǎn) 能集中在東亞地區(qū),但由于我國(guó)在該領(lǐng)域起步較晚,目前日、韓、臺(tái)企業(yè)仍占據(jù)行業(yè)主 導(dǎo)地位,在技術(shù)儲(chǔ)備、產(chǎn)能規(guī)模、收入與利潤(rùn)等方面全方位領(lǐng)先大陸廠商。

據(jù) Prismark 統(tǒng)計(jì),全球 IC 載板前三大企業(yè)分別為臺(tái)灣欣興電子、日本揖斐電和韓國(guó)三星電機(jī),行 業(yè)市場(chǎng)份額高度集中,前十大廠商份額占比超過 80%。雖然大陸企業(yè)起步時(shí)間晚,且面 臨較高的行業(yè)壁壘,但受益于本土巨大的市場(chǎng)空間、產(chǎn)業(yè)配套和成本優(yōu)勢(shì),疊加近年來 全球半導(dǎo)體封測(cè)產(chǎn)業(yè)逐漸向中國(guó)大陸轉(zhuǎn)移,有望直接拉動(dòng)封裝材料需求。日益旺盛的下 游需求和稀缺的產(chǎn)能供給之間已形成較大缺口,本土以興森、深南為代表去企業(yè)積推動(dòng) 封裝基板擴(kuò)產(chǎn),以滿足下游客戶需求。

先進(jìn)封裝拉動(dòng) IC 載板需求增長(zhǎng)。IC 載板在高端封裝領(lǐng)域已取代傳統(tǒng)引線框架,成為封 裝過程中的必備材料。先進(jìn)封裝增加 IC 載板的層數(shù),有效拉動(dòng)行業(yè)增長(zhǎng),而 Chiplet 封裝技術(shù)也大大增加了 ABF 載板的需求面積,帶動(dòng) ABF 載板需求提升。據(jù) Prismark 統(tǒng) 計(jì),,2021 年全球 IC 封裝基板行業(yè)規(guī)模達(dá)到 142 億美元,同比增長(zhǎng)近 40%,預(yù)計(jì) 2026 年將達(dá)到 214 億美元(約 1474 億元),2021-2026 年 IC 載板 CAGR 為 8.6%。國(guó)內(nèi)方面, 預(yù)計(jì) 2025 年國(guó)內(nèi) IC 載板市場(chǎng)規(guī)模將達(dá)到 412.4 億元,占全球比重接近 30%。

2.3、Chiplet采用新型的IP復(fù)用模式,為半導(dǎo)體IP發(fā)展提供新機(jī)遇

半導(dǎo)體 IP 指預(yù)先設(shè)計(jì)好的功能模塊。半導(dǎo)體 IP 是指集成電路設(shè)計(jì)中預(yù)先設(shè)計(jì)、驗(yàn)證好 的功能模塊,它位于 IC 設(shè)計(jì)上游,提供 SoC 所需的核心功能模塊。在芯片設(shè)計(jì)的過程 中,通過結(jié)合使用 EDA 軟件和半導(dǎo)體 IP,能有效縮短的設(shè)計(jì)周期,降低開發(fā)成本。目前 大部分芯片廠商采用外購+自主設(shè)計(jì)部分 IP 相結(jié)合的生產(chǎn)模式,并結(jié)合外購 EDA 工具進(jìn) 行獨(dú)立芯片設(shè)計(jì),因此 fabless 企業(yè)與 IDM 企業(yè)為半導(dǎo)體 IP 廠商的主要下游客戶。

半導(dǎo)體 IP 在 IC 設(shè)計(jì)中起到不可或缺的作用。半導(dǎo)體 IP 具有性能高、功耗優(yōu)、成本適 中、技術(shù)密集度高、知識(shí)產(chǎn)權(quán)集中、商業(yè)價(jià)值昂貴等特征,是集成電路設(shè)計(jì)產(chǎn)業(yè)的核心 產(chǎn)業(yè)要素和競(jìng)爭(zhēng)力體現(xiàn)。隨著芯片種類愈加豐富與先進(jìn)制程不斷推進(jìn),集成電路的設(shè)計(jì) 流程愈發(fā)復(fù)雜,導(dǎo)致研發(fā)費(fèi)用加大,半導(dǎo)體 IP 為簡(jiǎn)化 IC 設(shè)計(jì)流程提供便利,配合先進(jìn) 的 EDA 工具,IC 設(shè)計(jì)借助半導(dǎo)體 IP 實(shí)現(xiàn)了極大的便利。

2d012368-deb4-11ed-bfe3-dac502259ad0.png

資料來源:IBS,芯原股份招股說明書,東莞證券研究所

Chiplet 開啟新型 IP 復(fù)用模式,為半導(dǎo)體 IP 發(fā)展提供機(jī)遇。Chiplet 采取搭積木的方 式,通過 3D 集成等先進(jìn)集成技術(shù)將特定功能的芯片裸片集成在一起,從而形成一個(gè)系 統(tǒng)芯片。Chiplet 開啟了 IP 復(fù)用新模式,即硅片級(jí)別的 IP 復(fù)用;不同功能的 IP,如 CPU、 存儲(chǔ)器、模擬接口等,可靈活選擇不同的工藝分別進(jìn)行生產(chǎn),從而可以靈活平衡計(jì)算性 能與成本,實(shí)現(xiàn)功能模塊的最優(yōu)配置而不必受限于晶圓廠工藝;Chiplet 的發(fā)展演進(jìn), 為 IP 供應(yīng)商,尤其是具有芯片設(shè)計(jì)能力的 IP 供應(yīng)商,拓展了商業(yè)靈活性和發(fā)展空間。目前 chiplet 已有少量商業(yè)應(yīng)用,并吸引英特爾和 AMD 等國(guó)際芯片廠商投入相關(guān)研發(fā), 在當(dāng)前 SOC 早于工藝節(jié)點(diǎn)和成本瓶頸的情況下有望發(fā)展成為一種新的芯片生態(tài)。

單個(gè)芯片可集成 IP 數(shù)量增加,半導(dǎo)體 IP 市場(chǎng)有望實(shí)現(xiàn)快速增長(zhǎng)。隨著先進(jìn)制程的演進(jìn), 線寬的縮小使得芯片中晶體管數(shù)量大幅提升,使得單顆芯片中可集成的 IP 數(shù)量也大幅 增加。以 28nm 工藝節(jié)點(diǎn)為例,單顆芯片中已可集成的 IP 數(shù)量為 87 個(gè),當(dāng)工藝節(jié)點(diǎn)演 進(jìn)至 7nm 時(shí),可集成的 IP 數(shù)量達(dá)到 178 個(gè)。單顆芯片可集成 IP 數(shù)量增多為更多 IP 在 SoC 中實(shí)現(xiàn)可復(fù)用提供新的空間,從而推動(dòng)半導(dǎo)體 IP 市場(chǎng)進(jìn)一步發(fā)展。據(jù) IBS 數(shù)據(jù)顯示, 導(dǎo)體 IP 市場(chǎng)將從 2018 年的 46 億美元增長(zhǎng)至 2027 年的 101 億美元,年均復(fù)合增長(zhǎng)率為 9.13%。其中處理器 IP 市場(chǎng)預(yù)計(jì)在 2027 年達(dá)到 62.55 億美元,2018 年為 26.20 億美元, 年均復(fù)合增長(zhǎng)率為 10.15%;數(shù)?;旌?IP 市場(chǎng)預(yù)計(jì)在 2027 年達(dá)到 13.32 億美元,2018 年為 7.25 億美元,年均復(fù)合增長(zhǎng)率為 6.99%;射頻 IP 市場(chǎng)預(yù)計(jì)在 2027 年達(dá)到 11.24 億美元,2018 年為 5.42 億美元,年均復(fù)合增長(zhǎng)率為 8.44%。

芯原股份為國(guó)內(nèi)半導(dǎo)體 IP 佼佼者,助力 Chiplet 技術(shù)發(fā)展。芯原股份為國(guó)內(nèi)半導(dǎo)體 IP 龍企業(yè),主營(yíng)業(yè)務(wù)包括一站式芯片定制服務(wù)與半導(dǎo)體 IP 授權(quán)服務(wù),已向市場(chǎng)推出多款 一站式芯片定制方案,致力于打造芯片一體化設(shè)計(jì)平臺(tái)。公司在 IP 技術(shù)儲(chǔ)備深厚,已 加入 UCle 聯(lián)盟并推出高端應(yīng)用處理器平臺(tái),積極推動(dòng) Chiplet 相關(guān)技術(shù)發(fā)展,加快 Chiplet 產(chǎn)業(yè)化落地。。2022 年,公司實(shí)現(xiàn)營(yíng)收 26.79 億元,同比增長(zhǎng) 25.23%,實(shí)現(xiàn)歸 母凈利潤(rùn) 7,381.48 萬元,同比增長(zhǎng) 455.31%。在全球半導(dǎo)體景氣下行的大背景下,得益 于公司無產(chǎn)品庫存風(fēng)險(xiǎn)、無應(yīng)用領(lǐng)域邊界的獨(dú)特商業(yè)模式,以及逆產(chǎn)業(yè)周期的屬性,報(bào) 告期內(nèi)公司業(yè)務(wù)實(shí)現(xiàn)快速發(fā)展,行業(yè)地位和市場(chǎng)競(jìng)爭(zhēng)力不斷提升。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26331

    瀏覽量

    210005
  • 封裝
    +關(guān)注

    關(guān)注

    125

    文章

    7593

    瀏覽量

    142145
  • chiplet
    +關(guān)注

    關(guān)注

    6

    文章

    404

    瀏覽量

    12513

原文標(biāo)題:2、Chiplet技術(shù)持續(xù)推進(jìn),先進(jìn)封裝、IC載板、半導(dǎo)體IP等多環(huán)節(jié)受益

文章出處:【微信號(hào):CADCAM_beijing,微信公眾號(hào):智能制造IMS】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    集成度雙通道差分式電容型傳感芯片-MC11

    MC11S、MC11T是一款高集成度雙通道電容型傳感芯片芯片直接與被測(cè)物附近的差分電容極板相連,通過諧振激勵(lì)并解測(cè)量微小電容的變化。
    的頭像 發(fā)表于 09-06 10:07 ?144次閱讀

    AI芯片主張“超越摩爾”,Chiplet與先進(jìn)封裝技術(shù)迎百家爭(zhēng)鳴時(shí)代

    越來越差。在這種情況下,超越摩爾逐漸成為打造高芯片的主流技術(shù)。 ? 超越摩爾
    的頭像 發(fā)表于 09-04 01:16 ?2575次閱讀
    高<b class='flag-5'>算</b><b class='flag-5'>力</b>AI<b class='flag-5'>芯片</b>主張“超越<b class='flag-5'>摩爾</b>”,<b class='flag-5'>Chiplet</b>與先進(jìn)封裝技術(shù)迎百家爭(zhēng)鳴<b class='flag-5'>時(shí)代</b>

    【書籍評(píng)測(cè)活動(dòng)NO.43】 芯片 | 高性能 CPU/GPU/NPU 微架構(gòu)分析

    前言 不知不覺中,我們來到一個(gè)計(jì)算機(jī)科學(xué)飛速發(fā)展的時(shí)代,手機(jī)和計(jì)算機(jī)中各類便捷的軟件已經(jīng)融入日常生活,在此背景下,硬件特別是強(qiáng)勁的芯片,對(duì)于軟件服務(wù)起到不可替代的支撐作用。
    發(fā)表于 09-02 10:09

    大模型時(shí)代需求

    現(xiàn)在AI已進(jìn)入大模型時(shí)代,各企業(yè)都爭(zhēng)相部署大模型,但如何保證大模型的,以及相關(guān)的穩(wěn)定性和性能,是一個(gè)極為重要的問題,帶著這個(gè)極為重要的問
    發(fā)表于 08-20 09:04

    摩爾線程張建中:以國(guó)產(chǎn)助力數(shù)智世界,滿足大模型需求

    摩爾線程創(chuàng)始人兼CEO張建中在會(huì)上透露,為了滿足國(guó)內(nèi)對(duì)AI的迫切需求,他們正在積極尋求與國(guó)內(nèi)頂尖科研機(jī)構(gòu)的深度合作,共同推動(dòng)更大規(guī)模的AI智集群項(xiàng)目。
    的頭像 發(fā)表于 05-10 16:36 ?624次閱讀

    揭秘芯片:為何它如此關(guān)鍵?

    在數(shù)字化時(shí)代,芯片作為電子設(shè)備的核心組件,其性能直接關(guān)系到設(shè)備的運(yùn)行速度和處理能力。而芯片,即其計(jì)算能力,更是衡量
    的頭像 發(fā)表于 05-09 08:27 ?667次閱讀
    揭秘<b class='flag-5'>芯片</b><b class='flag-5'>算</b><b class='flag-5'>力</b>:為何它如此關(guān)鍵?

    力系列基礎(chǔ)篇——101:從零開始了解

    相信大家已經(jīng)感受到,我們正處在一個(gè)人工智能時(shí)代。如果要問在人工智能時(shí)代重要的是什么?那必須是:!
    的頭像 發(fā)表于 04-24 08:05 ?1002次閱讀
    <b class='flag-5'>算</b>力系列基礎(chǔ)篇——<b class='flag-5'>算</b><b class='flag-5'>力</b>101:從零開始了解<b class='flag-5'>算</b><b class='flag-5'>力</b>

    芯片:未來科技的加速器?

    在數(shù)字化時(shí)代,芯片作為電子設(shè)備的核心組件,其性能直接關(guān)系到設(shè)備的運(yùn)行速度和處理能力。而芯片,即其計(jì)算能力,更是衡量
    的頭像 發(fā)表于 02-27 09:42 ?745次閱讀
    高<b class='flag-5'>算</b><b class='flag-5'>力</b><b class='flag-5'>芯片</b>:未來科技的加速器?

    2023年Chiplet發(fā)展進(jìn)入新階段,半導(dǎo)體封測(cè)、IP企業(yè)多次融資

    電子發(fā)燒友網(wǎng)報(bào)道(文/劉靜)半導(dǎo)體行業(yè)進(jìn)入“摩爾時(shí)代”,Chiplet新技術(shù)成為突破芯片
    的頭像 發(fā)表于 01-17 01:18 ?1844次閱讀
    2023年<b class='flag-5'>Chiplet</b>發(fā)展進(jìn)入新階段,半導(dǎo)體封測(cè)、IP企業(yè)多次融資

    摩爾定律時(shí)代Chiplet落地進(jìn)展和重點(diǎn)企業(yè)布局

    如何超越摩爾定律,時(shí)代的定義也從摩爾定律時(shí)代過渡到了摩爾定律
    的頭像 發(fā)表于 12-21 00:30 ?1336次閱讀

    燧原科技和芯礪智能發(fā)布Chiplet高效NPU聯(lián)合計(jì)算架構(gòu)

    伴隨著AI大模型時(shí)代的來臨,全球需求呈現(xiàn)出旺盛增長(zhǎng)態(tài)勢(shì)。在摩爾定律放緩背景下,傳統(tǒng)單一芯片模式已無法準(zhǔn)確應(yīng)對(duì)日益復(fù)雜多元的算法和應(yīng)用需求
    的頭像 發(fā)表于 12-08 11:03 ?1169次閱讀
    燧原科技和芯礪智能發(fā)布<b class='flag-5'>Chiplet</b>高效NPU聯(lián)合計(jì)算架構(gòu)

    淺談AI大而生的存-體芯片

    大模型爆火之后,存一體獲得了更多的關(guān)注與機(jī)會(huì),其原因之一是因?yàn)榇?b class='flag-5'>算一體芯片的裸相比傳統(tǒng)架構(gòu)的AI
    發(fā)表于 12-06 15:00 ?299次閱讀
    淺談<b class='flag-5'>為</b>AI大<b class='flag-5'>算</b><b class='flag-5'>力</b>而生的存<b class='flag-5'>算</b>-體<b class='flag-5'>芯片</b>

    摩爾時(shí)代,3D封裝成為重要發(fā)展方向

    半導(dǎo)體集成電路代表科技發(fā)展的前沿,是信息化、數(shù)字化、智能化和的基石,隨著芯片產(chǎn)業(yè)的迅速發(fā)展,芯片間數(shù)據(jù)交換也在成倍增長(zhǎng),傳統(tǒng)的
    發(fā)表于 12-01 11:16 ?474次閱讀
    <b class='flag-5'>后</b><b class='flag-5'>摩爾時(shí)代</b>,3D封裝成為<b class='flag-5'>重要</b>發(fā)展方向

    奇異摩爾??|:Chiplet和網(wǎng)絡(luò)加速 互聯(lián)時(shí)代兩大關(guān)鍵技術(shù)

    突破局限的新生技術(shù),在短短幾年時(shí)間內(nèi),迅速成長(zhǎng)全球芯片巨頭的主流方案和行業(yè)公認(rèn)的“摩爾
    的頭像 發(fā)表于 11-14 09:26 ?1025次閱讀
    奇異<b class='flag-5'>摩爾</b>??|:<b class='flag-5'>Chiplet</b>和網(wǎng)絡(luò)加速 互聯(lián)<b class='flag-5'>時(shí)代</b>兩大關(guān)鍵技術(shù)

    摩智能與奇異摩爾正式簽署戰(zhàn)略合作協(xié)議

    推進(jìn)大芯片發(fā)展。 ? ? ? 摩智能產(chǎn)品和市場(chǎng)副總裁信曉旭與奇異摩爾產(chǎn)品及解決方案副總裁??|簽署戰(zhàn)略合作協(xié)議 ChatGPT掀起的這
    的頭像 發(fā)表于 09-27 10:19 ?843次閱讀