0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SoM充分利用Zynq UltraScale+ MPSoC FPGA系列的強(qiáng)大功能

星星科技指導(dǎo)員 ? 來源:embedded ? 作者:embedded ? 2023-04-25 09:14 ? 次閱讀

基于AMD-Xilinx Zynq UltraScale+ MPSoC器件,Andromeda支持三種外形尺寸:S(40 x 56 mm),M(52 x 66 mm)和L(80 x 64 mm)。它們提供 2 到 6 個高速連接器,支持多達(dá) 780 個 I/O。

該系列已經(jīng)包含兩個成員(Andromeda XZU90和XZU65),基于兩個AMD-Xilinx Zynq UltraScale+ MPSoC(ZU7EV,ZU17EG,ZU19EG)。今年晚些時候還將推出三款產(chǎn)品:緊湊型S外形的XZU30,XZU70(L)和基于AMD-Xilinx ZU50DR RFSoC器件的XRU48。

poYBAGRHKRuAGbVCAAQ8X2YdI9I771.png

Enclustra為其產(chǎn)品提供廣泛的設(shè)計支持和全面的生態(tài)系統(tǒng),提供所有必需的硬件,軟件和支持材料。詳細(xì)的文檔和參考設(shè)計使其易于上手。提供用戶手冊、用戶原理圖、3D模型、PCB封裝、差分I/O長度表和應(yīng)用筆記。

Enclustra還提供了有關(guān)構(gòu)建基于PetaLinux的板級支持包(BSP)的廣泛指導(dǎo)。詳細(xì)解釋了不同引導(dǎo)模式(QSPI、eMMC、SD 卡)的任何必要調(diào)整。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21509

    瀏覽量

    598869
  • 嵌入式
    +關(guān)注

    關(guān)注

    5045

    文章

    18814

    瀏覽量

    298441
  • 連接器
    +關(guān)注

    關(guān)注

    98

    文章

    13842

    瀏覽量

    135069
收藏 人收藏

    評論

    相關(guān)推薦

    如何利用ZYNQ MPSoC玩DOOM?

    賽靈思和 DornerWorks 的系統(tǒng)軟件團(tuán)隊在賽靈思的 Zynq? Ultrascale+? MPSoC 上啟動 Xen Project 管理程序時,我們發(fā)現(xiàn)可通過運行當(dāng)年叱詫一時的流行電子游戲
    發(fā)表于 10-09 06:21

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

      如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器  Zynq UltraScale+
    發(fā)表于 01-07 16:02

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
    發(fā)表于 01-22 06:29

    ZYNQ Ultrascale+ MPSOC FPGA教程

    ZYNQ Ultrascale+ MPSOC FPGA教程
    發(fā)表于 02-02 07:53

    Zynq UltraScale+ MPSoC系列有多少SerDes接口?

    作者:Steve Leibson, 賽靈思戰(zhàn)略營銷與業(yè)務(wù)規(guī)劃總監(jiān) 在高性能系統(tǒng)中越來越多地使用高速串行互聯(lián)意味著你會在Zynq UltraScale+ MPSoC系列中的11款器件中發(fā)
    發(fā)表于 02-08 05:50 ?651次閱讀

    閑話Zynq UltraScale+ MPSoC(連載1)

    閑話Zynq UltraScale+ MPSoC 作者: Hello,Panda 時隔三年,Xilinx推出了其全新的異構(gòu)SoC,大名叫Zynq U
    發(fā)表于 02-08 08:24 ?688次閱讀
    閑話<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>(連載1)

    Xilinx基于ARM的Zynq-7000和Zynq UltraScale+ MPSoC及RFSoC器件是否存在安全漏洞

    本文試圖搞清楚在 Xilinx 基于 ARM 的 Zynq-7000、Zynq UltraScale+ MPSoCZynq
    發(fā)表于 06-28 15:53 ?2624次閱讀

    Zynq UltraScale+ MPSoC的發(fā)售消息

    Zynq?UltraScale+?MPSoC,現(xiàn)已開始發(fā)售。視頻向您重點介紹了Xilinx UltraScale +產(chǎn)品組合的第一位成員
    的頭像 發(fā)表于 11-27 06:47 ?3487次閱讀

    米爾科技Zynq UltraScale+ MPSoC技術(shù)參考手冊介紹

    Zynq UltraScale+ MPSoC是Xilinx推出的第二代多處理SoC系統(tǒng),在第一代Zynq-7000的基礎(chǔ)上做了全面升級,在單芯片上融合了
    的頭像 發(fā)表于 11-18 11:03 ?2993次閱讀
    米爾科技<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>技術(shù)參考手冊介紹

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?

    Zynq UltraScale+ MPSoC VCU DDR 控制器是一款專用 DDR 控制器,只支持在 Zynq UltraScale+
    發(fā)表于 02-23 06:00 ?15次下載
    如何調(diào)試 <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b> VCU DDR 控制器?

    米爾電子zynq ultrascale+ mpsoc底板外設(shè)資源清單分享

    米爾電子推出的國內(nèi)首款zynq ultrascale+ mpsoc平臺核心板(及開發(fā)板):MYC-CZU3EG吸引了人工智能、工業(yè)控制、嵌入式視覺、ADAS、算法加速、云計算、有線/無線通信等
    發(fā)表于 01-07 15:20 ?3次下載
    米爾電子<b class='flag-5'>zynq</b> <b class='flag-5'>ultrascale+</b> <b class='flag-5'>mpsoc</b>底板外設(shè)資源清單分享

    ZYNQ Ultrascale+ MPSoC系列FPGA芯片設(shè)計

    基于 Xilinx 公司ZYNQ Ultrascale+ MPSoC系列 FPGA 芯片設(shè)計,應(yīng)用于工廠自動化、機(jī)器視覺、工業(yè)質(zhì)檢等工業(yè)領(lǐng)域
    發(fā)表于 11-02 14:35 ?1456次閱讀

    Zynq UltraScale+ MPSoC中的隔離方法

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC中的隔離方法.pdf》資料免費下載
    發(fā)表于 09-13 17:11 ?1次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>中的隔離方法

    Zynq UltraScale+ MPSoC的隔離設(shè)計示例

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC的隔離設(shè)計示例.pdf》資料免費下載
    發(fā)表于 09-13 11:28 ?3次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的隔離設(shè)計示例

    Zynq UltraScale+ MPSoC驗證數(shù)據(jù)手冊

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC驗證數(shù)據(jù)手冊.pdf》資料免費下載
    發(fā)表于 09-15 10:13 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>驗證數(shù)據(jù)手冊