0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

偶數(shù)分頻/奇數(shù)分頻/分?jǐn)?shù)分頻詳解

CHANBAEK ? 來源:跟IC君一起學(xué)習(xí)集成電路 ? 作者:文武 ? 2023-04-25 14:46 ? 次閱讀

大家在參加IC相關(guān)工作筆試或者面試的時候,經(jīng)常會碰到類似的題目:

怎樣用D觸發(fā)器、與或非門組成二分頻電路?

用D觸發(fā)器實(shí)現(xiàn)3倍分頻的Verilog描述?

任意切換1-8分頻,且無論奇分頻還是偶分頻,占空比均為50%,寫出verilog代碼;

時鐘分頻電路(分頻器)在IC設(shè)計(jì)中經(jīng)常會用到,其目的是產(chǎn)生不同頻率的時鐘,滿足系統(tǒng)的需要。 比如一個系統(tǒng),常規(guī)操作都是在1GHz時鐘下完成,突然要執(zhí)行一個操作涉及到模擬電路,所需時間是us量級的,顯然用1GHz(周期是1ns)的時鐘進(jìn)行操作是不合適的。

時鐘分頻器電路可以分為數(shù)字分頻器、模擬分頻器和射頻分頻器等。 數(shù)字分頻器通過一個計(jì)數(shù)器來進(jìn)行分頻,權(quán)值為分頻系數(shù)。 模擬分頻器就是一個頻率分配器,用帶阻帶通實(shí)現(xiàn)。 射頻分頻器也是濾波器原理,用帶內(nèi)外衰減,阻抗匹配實(shí)現(xiàn)。

這篇文章IC君就跟大家聊一聊數(shù)字分頻器。 對于精度不高的場合,數(shù)字分頻器分出的時鐘就可以滿足要求。 常用到數(shù)字分頻器有2,4,6,8,…… 偶數(shù)分頻,也會有3,5,7,9…… 奇數(shù)分頻,甚至還會有8.7,11.2,6.432,…… 分?jǐn)?shù)分頻。

偶數(shù)分頻是最容易掌握的,奇數(shù)分頻就有些技巧,如果你不知道奇數(shù)分頻技巧,就可能會被一些公司的面試或者考試題目給難住,錯失一些機(jī)會。 而像8.7,11.2,6.432,…… 這種分?jǐn)?shù)分頻器就更需要技巧。 掌握這些通用的技巧就能很快的實(shí)現(xiàn)各種分頻器,滿足系統(tǒng)的需求。 下面IC君就跟大家分別聊一下偶數(shù)分頻、奇數(shù)分頻、分?jǐn)?shù)(小數(shù))分頻器。

1偶數(shù)分頻

偶數(shù)分頻器的實(shí)現(xiàn)簡單,用計(jì)數(shù)器在上升沿或者下降沿計(jì)數(shù),當(dāng)計(jì)數(shù)器的值等于分頻系數(shù)的一半或等于分頻系數(shù)時,信號翻轉(zhuǎn)。 偶數(shù)分頻器分頻原理如下圖所示:

wKgaomRHdtSAYmJAAAAFuwM7e5I760.jpg

上圖的的分頻系數(shù)是4,就是4分頻。 電路原理是用一個上升沿計(jì)數(shù)的計(jì)數(shù)器,每次計(jì)數(shù)到2時輸出信號clkout翻轉(zhuǎn)一次,每次計(jì)數(shù)到4時clkout再翻轉(zhuǎn)一次,一直周期重復(fù)下去。 其他的偶數(shù)分頻器原理也是一樣。 從波形中可以看出cnt
從00->01->10->11->00......一直循環(huán)記數(shù),如果你夠仔細(xì),就可以看出cnt的最高位其實(shí)也是一個4分頻的時鐘。

如果偶數(shù)分頻系數(shù)是2的冪,就可以用2分頻器級聯(lián)得到; 例如4分頻就是兩個2分頻級聯(lián),下圖就是用兩個2分頻器級聯(lián)得到4分頻器。

wKgZomRHdtSATpROAAAZXe_vQA8286.jpg

2奇數(shù)分頻

奇數(shù)分頻器跟偶數(shù)分頻器一樣,當(dāng)計(jì)數(shù)器的值等于分頻系數(shù)(加1或者減1)的一半或等于分頻系數(shù)時,時鐘信號翻轉(zhuǎn)。 奇數(shù)分頻器分頻原理如下圖:

wKgaomRHdtSAAL4YAAAOEIbxnjU514.jpg

上圖的分頻系數(shù)是3,用一個計(jì)數(shù)器在上升沿計(jì)數(shù),每次計(jì)數(shù)到1翻轉(zhuǎn)一次,每次計(jì)數(shù)到3再翻轉(zhuǎn)一次,然后周期重復(fù)得到信號clkp1,它的周期就是clk的3倍,但是它的占空比不是50%(占空比就是clk為高的時間占整個時鐘周期的百分比)。 奇數(shù)分頻想通過計(jì)數(shù)器直接分頻出占空比是50%的時鐘是不可能的,必須要通過中間的臨時波形,做一些邏輯“與”“或”的動作才能得到占空比50%的分頻時鐘。

用一個下降沿的D觸發(fā)器鎖存clkp1得到信號clkn1,把信號clkp1和信號clkn1做邏輯“與”就得到了占空比50%的3分頻時鐘信號clkout。

wKgaomRHdtSAXZJtAAAUs6okQWk255.jpg

另外一種產(chǎn)生3分頻時鐘的方法:假設(shè)計(jì)數(shù)器在計(jì)數(shù)到1的下降沿分頻后的時鐘信號clkn2翻轉(zhuǎn)一次,計(jì)數(shù)到2的下降沿clkn2再翻轉(zhuǎn)一次,
再利用下圖電路調(diào)整占空比到50%:

poYBAGRHdv2AJVrLAABX5a7V6Sw843.png

用一個正常的D觸發(fā)器鎖存clkn2得到信號clkp2, clkn2“或”上clkp2就可以得到占空比50%的3分頻時鐘信號clkout。

其他的奇數(shù)分頻器調(diào)整占空比的原理也是相同的。

3分?jǐn)?shù)分頻

分?jǐn)?shù)分頻也叫小數(shù)分頻器,比如8.7分頻。 因?yàn)闆]辦法用計(jì)數(shù)器表示0.7這種數(shù)字,所以就用一個等效的概念來進(jìn)行8.7分頻,原時鐘87個周期的總時間等于分頻后的時鐘10個周期的總時間;

先做3次8分頻得到時鐘周期數(shù)是24,再做7次9(8加1)分頻得到時鐘周期數(shù)63,總共就87個時鐘周期; 在這87個時鐘周期里面分頻時鐘跳變20次總共10個周期。 分?jǐn)?shù)分頻器的原理可以用下圖來概括。

wKgZomRHdtSAHOIsAAAptcSu6W0813.jpg

用整數(shù)部分zn(=8)作為一個分頻系數(shù),zn加1(=9)作為另外一個分頻系數(shù)組成一個小數(shù)分頻器。

根據(jù)上面的原理可以列出下面的二元一次方程組

zn*N+(zn+1)*M=87 ......(1)

N+M=10 ...(2)

可以解出N和M的值分別是3和7。

如果分頻系數(shù)6.432,那么有下面的二元一次方程組,zn是6

zn*N+(zn+1)*M=6432 ......(1)

N+M=1000 ......(2)

解出N和M的值分別是568和432,這個值就很大了,計(jì)數(shù)器的位寬也變大了,也就是說小數(shù)部分位數(shù)越多,需要的計(jì)數(shù)器的位寬越大,消耗的硬件資源越多。 不過568,432,1000這幾個數(shù)有公約數(shù),可以除以最大公約數(shù),把計(jì)數(shù)數(shù)值減小。

如下圖所示:一個小數(shù)分頻器就有兩部分組成:ZN和ZN+1為分頻系數(shù)的多路分頻器,還有一個ACC計(jì)數(shù)器。

分頻器在輸入信號enout=0的時候是ZN分頻;

分頻器在輸入信號enout=1的時候是ZN+1分頻;

wKgZomRHdtSAF4UoAAALOiYPBS4942.jpg

ACC計(jì)數(shù)器的作用對ZN分頻和ZN+1分頻的次數(shù)計(jì)數(shù),對于一位小數(shù)計(jì)數(shù)總次數(shù)為10(兩位小數(shù)為100...),輸出信號enout決定下次是ZN分頻還是ZN+1分頻。

由于篇幅關(guān)系,小數(shù)分頻器的具體電路設(shè)計(jì)就留到下一篇文章講。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 分頻器
    +關(guān)注

    關(guān)注

    43

    文章

    445

    瀏覽量

    49583
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109713
  • 時鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1673

    瀏覽量

    130957
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1990

    瀏覽量

    60871
  • 分頻電路
    +關(guān)注

    關(guān)注

    7

    文章

    45

    瀏覽量

    35868
收藏 人收藏

    評論

    相關(guān)推薦

    奇數(shù)分頻如何得到呢? 解讀奇數(shù)分頻和邏輯分析儀(ILA)的使用

    前言: 偶數(shù)分頻容易得到:N倍偶數(shù)分頻,可以通過由待分頻的時鐘觸發(fā)計(jì)數(shù)器計(jì)數(shù),當(dāng)計(jì)數(shù)器從0計(jì)數(shù)到N/2-1時,輸出時鐘進(jìn)行翻轉(zhuǎn),并給計(jì)數(shù)器一個復(fù)位信號,使得下一個時鐘從零開始計(jì)數(shù)。以此循環(huán)下去
    的頭像 發(fā)表于 12-28 15:49 ?2828次閱讀

    請問AD9518 VCO偶數(shù)分頻失鎖是什么原因

    ad9518-4,改芯片用過好多次,這次應(yīng)用不同需要輸出800MHz。發(fā)現(xiàn)如下問題:將VCO的分頻設(shè)置0x1e0到偶數(shù)分頻(2、4)都不能鎖定。其他設(shè)置不變,設(shè)置成奇數(shù)分頻就沒有鎖不定的問題;觀察
    發(fā)表于 08-19 07:53

    數(shù)分頻鎖相環(huán)的工作原理

    議程PLL介紹及小數(shù)分頻鎖相環(huán)的優(yōu)點(diǎn)小數(shù)分頻鎖相環(huán)的錯誤使用小數(shù)分頻鎖相環(huán)詳解參考雜散及如何減少雜散總結(jié)
    發(fā)表于 05-28 14:58 ?0次下載

    基于Verilog的FPGA分頻設(shè)計(jì)

    給出了一種基于FPGA的分頻電路的設(shè)計(jì)方法.根據(jù)FPGA器件的特點(diǎn)和應(yīng)用范圍,提出了基于Verilog的分頻方法.該方法時于在FPGA硬件平臺上設(shè)計(jì)常用的任意偶數(shù)分頻、奇數(shù)分頻、半整
    發(fā)表于 11-09 09:49 ?355次下載
    基于Verilog的FPGA<b class='flag-5'>分頻</b>設(shè)計(jì)

    分頻器的作用是什么 半整數(shù)分頻器原理圖分析

    分頻器主要分為偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻和小數(shù)分頻,如果在設(shè)計(jì)過程中采用參數(shù)化設(shè)計(jì),就可以隨時改變參量以得到不同的
    發(fā)表于 02-01 01:28 ?1.6w次閱讀
    <b class='flag-5'>分頻</b>器的作用是什么 半整<b class='flag-5'>數(shù)分頻</b>器原理圖分析

    基于復(fù)雜可編程邏輯器件和VHDL語言實(shí)現(xiàn)半整數(shù)分頻器的設(shè)計(jì)

    在數(shù)字系統(tǒng)設(shè)計(jì)中,根據(jù)不同的設(shè)計(jì)需要,經(jīng)常會遇到偶數(shù)分頻奇數(shù)分頻、半整數(shù)分頻等,有的還要求等占空比。在基于cpld(復(fù)雜可編程邏輯器件)的數(shù)字系統(tǒng)設(shè)計(jì)中,很容易實(shí)現(xiàn)由計(jì)數(shù)器或其級聯(lián)構(gòu)成各種形式的
    發(fā)表于 06-26 09:36 ?985次閱讀
    基于復(fù)雜可編程邏輯器件和VHDL語言實(shí)現(xiàn)半整<b class='flag-5'>數(shù)分頻</b>器的設(shè)計(jì)

    奇數(shù)分頻器的介紹和實(shí)現(xiàn)

    因?yàn)?b class='flag-5'>偶數(shù)分頻器過于簡單,所以我們從奇數(shù)分頻器開始說起8 01 奇數(shù)分頻器 ? ? 假設(shè)我們要實(shí)現(xiàn)一個2N+1分頻分頻器,就需要高電平占N+
    的頭像 發(fā)表于 03-12 15:44 ?6225次閱讀
    <b class='flag-5'>奇數(shù)分頻</b>器的介紹和實(shí)現(xiàn)

    數(shù)分頻資料分享

    有關(guān)小數(shù)分頻的資料,用于時鐘芯片設(shè)計(jì),十分經(jīng)典。
    發(fā)表于 10-24 11:48 ?0次下載

    偶數(shù)分頻器的設(shè)計(jì)

    所謂“分頻”,就是把輸入信號的頻率變成成倍數(shù)地低于輸入頻率的輸出信號。數(shù)字電路中的分頻器主要是分為兩種:整數(shù)分頻和小數(shù)分頻。其中整數(shù)分頻又分
    的頭像 發(fā)表于 03-23 15:06 ?1438次閱讀
    <b class='flag-5'>偶數(shù)分頻</b>器的設(shè)計(jì)

    奇數(shù)分頻器的設(shè)計(jì)

    上一篇文章介紹了偶分頻,今天來介紹一下奇數(shù)分頻器的設(shè)計(jì)。
    的頭像 發(fā)表于 03-23 15:06 ?924次閱讀
    <b class='flag-5'>奇數(shù)分頻</b>器的設(shè)計(jì)

    數(shù)分頻器的設(shè)計(jì)

    前面分別介紹了偶數(shù)奇數(shù)分頻(即整數(shù)分頻),接下來本文介紹小數(shù)分頻
    的頭像 發(fā)表于 03-23 15:08 ?949次閱讀
    小<b class='flag-5'>數(shù)分頻</b>器的設(shè)計(jì)

    偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻和小數(shù)分頻詳解

    初學(xué) Verilog 時許多模塊都是通過計(jì)數(shù)與分頻完成設(shè)計(jì),例如 PWM 脈寬調(diào)制、頻率計(jì)等。而分頻邏輯往往通過計(jì)數(shù)邏輯完成。本節(jié)主要對偶數(shù)分頻、奇數(shù)分頻、半整
    的頭像 發(fā)表于 03-29 11:38 ?4309次閱讀
    <b class='flag-5'>偶數(shù)分頻</b>、<b class='flag-5'>奇數(shù)分頻</b>、半整<b class='flag-5'>數(shù)分頻</b>和小<b class='flag-5'>數(shù)分頻</b><b class='flag-5'>詳解</b>

    基于Verilog的分?jǐn)?shù)分頻電路設(shè)計(jì)

    上一篇文章時鐘分頻系列——偶數(shù)分頻/奇數(shù)分頻/分?jǐn)?shù)分頻,IC君介紹了各種分頻器的設(shè)計(jì)原理,其中分?jǐn)?shù)分頻
    的頭像 發(fā)表于 04-25 14:47 ?1476次閱讀
    基于Verilog的<b class='flag-5'>分?jǐn)?shù)分頻</b>電路設(shè)計(jì)

    分頻器之小數(shù)分頻設(shè)計(jì)

    對于要求相位以及占空比嚴(yán)格的小數(shù)分頻,建議采用模擬電路實(shí)現(xiàn)。而使用數(shù)字電路實(shí)現(xiàn)只能保證盡量均勻,在長時間內(nèi)進(jìn)行分頻。
    的頭像 發(fā)表于 06-05 17:20 ?1427次閱讀
    <b class='flag-5'>分頻</b>器之小<b class='flag-5'>數(shù)分頻</b>設(shè)計(jì)

    鎖相環(huán)整數(shù)分頻和小數(shù)分頻的區(qū)別是什么?

    鎖相環(huán)整數(shù)分頻和小數(shù)分頻的區(qū)別是什么? 鎖相環(huán)(PLL)是一種常用的電子電路,用于將輸入的時鐘信號與參考信號進(jìn)行同步,并生成輸出信號的一種技術(shù)。在PLL中,分頻器模塊起到關(guān)鍵作用,可以實(shí)現(xiàn)整數(shù)
    的頭像 發(fā)表于 01-31 15:24 ?2136次閱讀