0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

數(shù)字硬件建模SystemVerilog之Interface方法概述

OpenFPGA ? 來源:OpenFPGA ? 2023-04-28 14:10 ? 次閱讀

概述

SystemVerilog Interface是modport的一種,但比簡單的輸入、輸出或輸入輸出端口的功能更多。在其最簡單的形式中,Interface端口將相關(guān)的信號捆綁在一起作為一個(gè)單一的復(fù)合端口。例如,構(gòu)成AMBA AXI總線的所有單個(gè)信號都可以被歸納為一個(gè)Interface端口。一個(gè)Interface可以做的不僅僅是封裝總線信號。SystemVerilog Interface為設(shè)計(jì)者提供了一種集中總線功能的方法,而不是將功能分散在設(shè)計(jì)中的幾個(gè)模塊中。這就模擬了設(shè)計(jì)工程師在RTL層面的工作,并讓綜合工作在整個(gè)設(shè)計(jì)中適當(dāng)?shù)胤峙溟T級總線硬件。

當(dāng)遵循特定的建模準(zhǔn)則和限制時(shí),Interface是可以綜合的。Interface也可以用在不可綜合級別的建模,并作為驗(yàn)證測試平臺的一部分。先進(jìn)的驗(yàn)證方法,如UVM 、OVM和VMM,都使用Interface。

Interface方法(任務(wù)和函數(shù))

SystemVerilog Interface的作用不僅僅是將相關(guān)的信號組合在一起。Interface還可以封裝模塊間的通信功能。通過將通信功能添加到Interface中,每個(gè)使用該Interface的模塊可以簡單地引用該功能,而不必在每個(gè)模塊中重復(fù)該功能。Interface中的封裝功能也可以獨(dú)立于使用該Interface的模塊進(jìn)行驗(yàn)證。

Interface中封裝的功能可以通過使用任務(wù)和函數(shù)來定義。Interface中的任務(wù)和函數(shù)被稱為Interface方法(interface methods)。Interface方法(任務(wù)和函數(shù))可以通過在模塊的modport定義中使用導(dǎo)入語句被導(dǎo)入到需要它們的模塊中。在modport中導(dǎo)入函數(shù)類似于從包中導(dǎo)入函數(shù)。

下面的例子給簡單的AHB Interface增加了兩個(gè)函數(shù)--一個(gè)是生成奇偶校驗(yàn)位值(使用奇數(shù)校驗(yàn)),另一個(gè)函數(shù)是檢查數(shù)據(jù)是否與計(jì)算出的奇偶校驗(yàn)相符。hwdata和hrdata向量被聲明為比以前的例子寬1位,額外的位被用作奇偶校驗(yàn)位。

例10-6:帶有奇偶性邏輯Interface方法(函數(shù))的Interface

///////////////////////////////////////////////////////////
//SimpleAHBInterfacewithparitymethods
///////////////////////////////////////////////////////////
//`begin_keywords"1800-2012"
interfacesimple_ahb(
inputlogichclk,//bustransferclk
inputlogichresetN//busreset,activelow
);
logic[31:0]haddr;//transferstartaddress
logic[32:0]hwdata;//datatoslave,withparitybit
logic[32:0]hrdata;//datafromslave,withparitybit
logic[2:0]hsize;//transfersize
logichwrite;//1forwrite,0forread
logichready;//1fortransferfinished

functionautomaticlogicparity_gen(logic[31:0]data);
return(^data);//calculateparityofdata(oddparity)
endfunction

functionautomaticlogicparity_chk(logic[31:0]data,
logicparity);
return(parity===^data);//1=OK,0=parityerror
endfunction

//mastermoduleportdirections
modportmaster_ports(
outputhaddr,hwdata,hsize,hwrite,//toAHBslave
inputhrdata,hready,//fromAHBslave
inputhclk,hresetN,//fromchiplevel
importparity_gen,parity_check//functionimport
);

//slavemoduleportdirections
modportslave_ports(
outputhrdata,hready,//toAHBmaster
inputhaddr,hwdata,hsize,hwrite,//fromAHBmaster
inputhclk,hresetN,//fromchiplevel
importparity_check//functionimport
);

//slavemoduleportdirections
modportslave_ports_alt(
outputhrdata,hready,//toAHBmaster
inputhaddr,hwdata,hsize,hwrite,//fromAHBmaster
inputhclk,hresetN,//fromchiplevel
importfunctionlogicparity_chk(logic[31:0]data,
logicparity)
);
endinterface:simple_ahb
//`end_keywords

在這個(gè)例子中,master_ports 的modport定義同時(shí)導(dǎo)入了parity_gen 和 parity_chk。modport從主模塊的角度定義了端口方向和導(dǎo)入。因此,一個(gè)使用master_portsmodport的模塊正在導(dǎo)入這些函數(shù),類似于一個(gè)模塊從包中導(dǎo)入函數(shù)的方式。

slave_portsmodport只導(dǎo)入parity_chk。一個(gè)使用slave_ports modport的模塊不能訪問parity_gen。因?yàn)檫@個(gè)方法沒有被包含在modport 的導(dǎo)入中,所以從 slave_ports 提供的Interface來看,這個(gè)方法就好像不存在一樣。

使用方法原型導(dǎo)入方法。作為選擇,modport導(dǎo)入聲明可以指定任務(wù)或函數(shù)參數(shù)的完整原型。而不是僅僅導(dǎo)入方法名,導(dǎo)入關(guān)鍵字后面是實(shí)際方法定義的聲明行。這種風(fēng)格的導(dǎo)入聲明的基本語法是:

modport(import task))。
modport(importfunction())。

比如說。

92d0ac56-e583-11ed-ab56-dac502259ad0.png

函數(shù)原型不包括automatic關(guān)鍵字,即使實(shí)際的函數(shù)被聲明為automatic(這是綜合所需要的)。

使用完整的原型來導(dǎo)入一個(gè)方法并沒有什么好處。一些工程師認(rèn)為,完整的原型可以直接記錄任務(wù)或函數(shù)的參數(shù),作為??诼暶鞯囊徊糠?。當(dāng)實(shí)際的任務(wù)或函數(shù)被定義在一個(gè)包中,并被導(dǎo)入到Interface中時(shí),這種額外的代碼記錄會(huì)很方便。原型使方法類型和參數(shù)在Interface定義中可見,這樣工程師就不需要到包含包的文件中去看方法類型和參數(shù)。

調(diào)用Interface中定義的方法

導(dǎo)入的方法是Interface的一部分,通過使用Interface端口名稱來調(diào)用,與引用Interface中的信號的方式相同。語法是

.。

前面展示的主模塊有一個(gè)名為ahb 的 Interface端口 。因此,主模塊可以通過引用ahb.parity_gen來調(diào)用Interface parity_gen方法。例如:

always_ff@(posedgeahb.hclk)
 ahb.hwdata[32]<= ahb.parity_gen(ahb.hwdata[31:0])。

綜合Interface方法

從概念上講,綜合編譯器通過在模塊中創(chuàng)建該方法的本地副本來替換導(dǎo)入的方法,然后對該本地副本進(jìn)行綜合。綜合后的模塊版本將包含導(dǎo)入方法的邏輯,而不再從Interface中獲取該功能。

最佳實(shí)踐指南10-4
對于可綜合的RTL Interface,只在Interface中使用函數(shù)和無效函數(shù)。不要使用任務(wù)或always程序。

綜合編譯器對放置在模塊中的Interface內(nèi)容施加了同樣的RTL編碼限制。這些限制之一是,任務(wù)必須在零時(shí)間內(nèi)執(zhí)行。使用void函數(shù)而不是任務(wù)來執(zhí)行這個(gè)綜合限制。

注意事項(xiàng)
導(dǎo)入的函數(shù)或任務(wù)必須被聲明為automatic,并且不能包含靜態(tài)聲明,以便被綜合。這與模塊從包中導(dǎo)入函數(shù)或任務(wù)時(shí)的同義詞規(guī)則相同。

一個(gè)automatic函數(shù)或任務(wù)在每次被調(diào)用時(shí)都會(huì)分配新的存儲(chǔ)空間。當(dāng)一個(gè)模塊調(diào)用一個(gè)導(dǎo)入的方法時(shí),所有內(nèi)部存儲(chǔ)的新副本被分配。這允許綜合處理該方法,就好像它是模塊內(nèi)的一個(gè)本地副本。

抽象的、不可綜合的Interface

SystemVerilog Interface能夠以比RTL綜合編譯器所支持的更高的抽象水平來表示總線協(xié)議。例如,一個(gè)Interface任務(wù),可能需要多個(gè)時(shí)鐘周期來執(zhí)行,可以代表一個(gè)完整的主從握手協(xié)議。該協(xié)議可以從主機(jī)發(fā)出傳輸請求開始,仲裁哪個(gè)從機(jī)收到請求,等待從機(jī)的反饋,傳輸數(shù)據(jù),并收到數(shù)據(jù)被接收的確認(rèn)信息

這些Interface功能對抽象的事務(wù)級建模很有用,但目前的RTL綜合編譯器不支持。目前的SystemVerilog綜合工具要求將Interface中編碼的功能限制為零延遲和零時(shí)鐘周期模型。這些綜合限制可以通過將Interface中定義的功能代碼限制為函數(shù)來滿足。SystemVerilog的語法規(guī)則要求函數(shù)必須在零模擬時(shí)間內(nèi)執(zhí)行,這就要求綜合器對零延遲Interface功能的要求。

一個(gè)Interface也可以包含驗(yàn)證程序和斷言。這些驗(yàn)證代碼可以通過將其包含在pragma中而隱藏起來。

//synthesistranslateoffand//synthesistranslateon.

Interface程序代碼

除了任務(wù)和函數(shù)方法,Interface還可以包含初始和always程序塊和assign。程序代碼可以用來在一個(gè)Interface內(nèi)建立功能模型,這些功能會(huì)影響到在Interface所代表的總線上交流的信息。

例10-7為簡單AHB總線增加了一個(gè)時(shí)鐘發(fā)生器hclk,為總線增加了一個(gè)復(fù)位同步器hresetN。在這個(gè)Interface的前幾個(gè)例子中,這些信號是在Interface的外部產(chǎn)生的,并作為簡單AHB Interface的輸入端口傳入。這個(gè)例子用芯片(或系統(tǒng))級的時(shí)鐘和復(fù)位取代了這些輸入,并使用這些芯片級的信號來產(chǎn)生本地總線時(shí)鐘和總線復(fù)位。這個(gè)本地功能然后成為主模塊和從模塊之間封裝的總線通信的一部分。

例10-7:與內(nèi)部程序代碼的Interface,生成總線功能

///////////////////////////////////////////////////////////
//SimpleAHBInterfacewithclockgeneratorandreset
//synchronizerproceduralcode
///////////////////////////////////////////////////////////
//`begin_keywords"1800-2012"
interfacesimple_ahb(
inputlogicchip_clk,//externalclockfromthechip
inputlogicchip_rstN//busreset,activelow
);
logichclk;//localbustransferclk
logichresetN;//localbusreset,activelow
logic[31:0]haddr;//transferstartaddress
logic[31:0]hwdata;//datasenttoslave
logic[31:0]hrdata;//returndatafromslave
logic[2:0]hsize;//transfersize
logichwrite;//1forwrite,0forread
logichready;//1fortransferfinished

//generateAHBclock(divide-by-twoofchip_clk)
always_ff@(posedgechip_clkornegedgechip_rstN)
if(!chip_rstN)hclk<=?'0;
????else????????????hclk?<=?~hclk;

??//?sync?trailing?edge?of?hresetN?to?hclk
??logic?rstN_tmp;?//?temp?variable?used?inside?the?interface
??always_ff?@(posedge?hclk?or?negedge?chip_rstN)
????if?(!chip_rstN)?begin???//?asynchronous?active-low?reset
??????rstN_tmp?<=?'0;
??????hresetN??<=?'0;
????end?
????else?begin?
??????rstN_tmp?<=?'1;???????//?begin?end?of?reset
??????hresetN??<=?rstN_tmp;?//?stabilize?reset
????end?

??//?master?module?port?directions
??modport?master_ports?(
????output?haddr,?hwdata,?hsize,?hwrite,?//?to?AHB?slave
????input??hrdata,?hready,???????????????//?from?AHB?slave
????input??hclk,?hresetN?????????????????//?from?chip?level
??);

??//?slave?module?port?directions
??modport?slave_ports?(
????output?hrdata,?hready,???????????????//?to?AHB?master
????input??haddr,?hwdata,?hsize,?hwrite,?//?from?AHB?master
????input??hclk,?hresetN?????????????????//?from?chip?level
??);
endinterface:?simple_ahb
//`end_keywords?

綜合Interface程序。綜合編譯器如何處理Interface中的程序代碼并沒有很好的定義,這與Interface方法(任務(wù)和函數(shù))的情況不同。方法的綜合是通過在概念上將方法代碼復(fù)制到具有Interface端口的模塊中,并對本地副本進(jìn)行綜合。這可以做到,因?yàn)榉椒ㄊ菑哪K內(nèi)調(diào)用的,執(zhí)行起來就像方法是該模塊的一部分。然而,程序代碼是從Interface內(nèi)執(zhí)行的,并影響到所有使用該Interface的模塊。Interface中的程序代碼類似于全局功能,綜合編譯器并不支持這種功能,如果有的話。

最佳實(shí)踐指南10-5
使用函數(shù)來模擬Interface內(nèi)的功能。不要在可綜合的RTL Interface中使用初始程序、always程序或assign賦值。

綜合編譯器對Interface內(nèi)的程序代碼的支持并不充分。如果支持的話,不同的綜合編譯器對程序性代碼的處理方式也可能大相徑庭。

參數(shù)化的Interface

Interface可以用與模塊相同的方式使用參數(shù)重定義。這使得Interface模型可以被配置,因此Interface的每個(gè)實(shí)例可以有不同的配置。通過使用SystemVerilog的參數(shù)重定義結(jié)構(gòu),參數(shù)可以在Interface中使用,使向量大小和Interface中的其他聲明可以重新配置。當(dāng)Interface被實(shí)例化時(shí),Interface的參數(shù)值可以被重新細(xì)化,這與模塊重新定義的方式相同。

下面是簡單AHB例子的變化,增加了參數(shù),使數(shù)據(jù)矢量寬度在Interface實(shí)例化時(shí)可以配置。任何與Interface實(shí)例相連的模塊間面端口將使用該Interface實(shí)例的向量大小。

例10-8:具有可配置總線數(shù)據(jù)字大小的參數(shù)化Interface

///////////////////////////////////////////////////////////
//SimpleAHBInterfacewithpareterizedbuswidths
///////////////////////////////////////////////////////////
//`begin_keywords"1800-2012"
interfacesimple_ahb
#(parameterDWIDTH=32)//Databuswidth
(
inputlogichclk,//bustransferclk
inputlogichresetN//busreset,activelow
);
logic[31:0]haddr;//transferstartaddress
logic[DWIDTH-1:0]hwdata;//datasenttoslave
logic[DWIDTH-1:0]hrdata;//returndatafromslave
logic[2:0]hsize;//transfersize
logichwrite;//1forwrite,0forread
logichready;//1fortransferfinished

//mastermoduleportdirections
modportmaster_ports(
outputhaddr,hwdata,hsize,hwrite,//toAHBslave
inputhrdata,hready,//fromAHBslave
inputhclk,hresetN//fromchiplevel
);

//slavemoduleportdirections
modportslave_ports(
outputhrdata,hready,//toAHBmaster
inputhaddr,hwdata,hsize,hwrite,//fromAHBmaster
inputhclk,hresetN//fromchiplevel
);
endinterface:simple_ahb
//`end_keywords

下面的代碼片斷將例10-8中Interface的數(shù)據(jù)字大小重新定義為64位字大小。

simple_ahb#(.DWIDTH(64))

ahbl(.hclk,
.hresetN
);

綜合Interface

Interface是SystemVerilog在原有的Verilog HDL中加入的一個(gè)強(qiáng)大的建模結(jié)構(gòu)。Interface端口是從傳統(tǒng)的Verilog模型中抽象出來的,在傳統(tǒng)的Verilog模型中,一組相關(guān)的信號必須每次都要聲明一個(gè)信號。這些單獨(dú)的聲明必須在每個(gè)使用相關(guān)信號的模塊以及連接模塊的塊級中重復(fù)進(jìn)行。

在其最基本的形式中,SystemVerilog Interface將相關(guān)信號封裝在一起,作為一個(gè)可重復(fù)使用的建模組件。然后,該Interface可以作為模塊上的一個(gè)端口,取代一組相關(guān)信號的多個(gè)單獨(dú)端口。Interface所提供的建模抽象可以成為RTL設(shè)計(jì)工程師的強(qiáng)大工具。設(shè)計(jì)師可以一次性定義一組相關(guān)的信號,作為一個(gè)Interface,然后可以多次使用這些信號,而不必重復(fù)定義。

綜合編譯器能很好地處理使用Interface來封裝相關(guān)信號的問題。設(shè)計(jì)工程師可以在更高的抽象水平上工作--具有抽象的所有優(yōu)點(diǎn)--綜合編譯器將信號的抽象封裝轉(zhuǎn)化為各個(gè)模塊的端口,工程師不需要為各個(gè)端口的聲明而煩惱,并確保多個(gè)模塊中冗余的聲明完全匹配。

綜合編譯器支持兩種方式,即在端口聲明中指定與模塊一起使用的modport,或者在模塊例化時(shí)指定modport。然而,如果一個(gè)模塊是獨(dú)立于其他模塊綜合的,則必須在端口聲明中指定modport。

當(dāng)一個(gè)模塊獨(dú)立于其他模塊進(jìn)行綜合時(shí),或者在綜合編譯器配置為保留RTL模塊層次的情況下綜合多個(gè)模塊時(shí),綜合編譯器將把一個(gè)模塊的Interface端口擴(kuò)展為modport定義中代表的各個(gè)端口。大多數(shù)綜合編譯器將使用Verilog-1995的端口聲明風(fēng)格,其中端口列表包含端口名稱和順序,而端口大小和數(shù)據(jù)類型在模塊內(nèi)部聲明,而不是在端口列表中。一個(gè)模塊可以有任意數(shù)量的Interface端口,Interface端口可以與其他端口以任意順序指定。本文中的例子將Interface端口列在前面,只是為了強(qiáng)調(diào)Interface端口的作用。

下面的代碼片斷顯示了一個(gè)主模塊可能的綜合前和綜合后的模塊定義,該模塊使用簡單ahb Interface。

預(yù)綜合modport列表,有一個(gè)Interface端口。

92dc0e2a-e583-11ed-ab56-dac502259ad0.png

綜合后模型,使用Verilog-1995編碼風(fēng)格。下面的綜合后例子說明了一個(gè)Interface端口如何綜合的典型結(jié)果。

92f6d3ae-e583-11ed-ab56-dac502259ad0.png

如果指定了一個(gè)modport的定義,綜合將使用modport中指定的方向。如果在模型綜合時(shí)沒有指定modport,那么在綜合的模塊中,Interface內(nèi)的所有信號都會(huì)成為雙向的inout端口。

可配置的Interface與可配置的模塊一樣,都是可綜合的。Interface可以使用參數(shù)來配置總線寬度和數(shù)據(jù)類型。Interface也可以用同樣的方式進(jìn)行配置。

Interface也可以通過使用方法(任務(wù)和函數(shù))和程序代碼來封裝與這些信號有關(guān)的功能。Interface中的函數(shù)是可以綜合的。這可能很有用,RTL設(shè)計(jì)工程師應(yīng)該利用這種綜合能力。將函數(shù)與它們所操作的信號封裝在一起,是編寫穩(wěn)健、可重復(fù)使用的代碼的最佳實(shí)踐編碼方式。

最佳實(shí)踐指南10-6
將Interface的功能限制在可以用函數(shù)建模的范圍內(nèi)。

在編寫本文時(shí),現(xiàn)有的RTL綜合編譯器在支持使用Interface來封裝使用任務(wù)和程序代碼的功能方面有些局限。

例如,有可能在一個(gè)Interface內(nèi)封裝FIFO的全部功能,這將允許使用封裝信號的模塊在不同的時(shí)鐘速度下運(yùn)行而不損失任何數(shù)據(jù)。完整的糾錯(cuò)功能以及與一組信號相關(guān)的其他復(fù)雜操作也可以與這些信號捆綁在一起。這種更高級的封裝水平不被大多數(shù)綜合編譯器所支持,或只有有限的支持。這些限制限制了程序性代碼在Interface中的實(shí)用性。

Interface也可以捆綁驗(yàn)證代碼,例如為封裝的信號和功能提供斷言和自我檢查程序。Interface中的驗(yàn)證相關(guān)代碼可以被綜合編譯器使用綜合translate_off和trans1ate_on pragmas或'if def條件編譯忽略。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 時(shí)鐘發(fā)生器

    關(guān)注

    1

    文章

    191

    瀏覽量

    67118
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59515
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19086
  • Verilog語言
    +關(guān)注

    關(guān)注

    0

    文章

    113

    瀏覽量

    8192

原文標(biāo)題:數(shù)字硬件建模SystemVerilog-通信總線建模 --Interface方法

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    SystemVerilog 的VMM驗(yàn)證方法學(xué)教程教材

    SystemVerilog 的VMM 驗(yàn)證方法學(xué)教程教材包含大量經(jīng)典的VMM源代碼,可以實(shí)際操作練習(xí)的例子,更是ic從業(yè)人員的絕佳學(xué)習(xí)資料。SystemVerilog 的VMM 驗(yàn)證方法
    發(fā)表于 01-11 11:21

    [啟芯公開課] SystemVerilog for Verification

    ,基于SystemVerilog的驗(yàn)證方法學(xué)快速發(fā)展,由此可見,數(shù)字驗(yàn)證工程師必須掌握SystemVerilog。啟芯學(xué)堂QQ群: 275855756第一講: Design Under
    發(fā)表于 06-10 09:25

    如何在SystemVerilog中為狀態(tài)機(jī)的命令序列的生成建模

     我們將展示如何在SystemVerilog中為狀態(tài)機(jī)的命令序列的生成建模,并且我們將看到它是如何實(shí)現(xiàn)更高效的建模,以及實(shí)現(xiàn)更好的測試生成。?
    發(fā)表于 01-01 06:05

    數(shù)字硬件建模SystemVerilog-歸約運(yùn)算符

    介紹歸約運(yùn)算符對單個(gè)操作數(shù)的所有位執(zhí)行運(yùn)算,并返回標(biāo)量(1位)結(jié)果。表5-9列出了歸約運(yùn)算符。表5-9:RTL建模的歸約運(yùn)算符歸約運(yùn)算符包括一個(gè)NAND和一個(gè)NOR運(yùn)算符,這是按位運(yùn)算符所沒有
    發(fā)表于 10-20 15:03

    基于SystemVerilog語言的驗(yàn)證方法學(xué)介紹

    文章主要介紹《VMM for SystemVerilog》一書描述的如何利用SystemVerilog語言,采用驗(yàn)證方法學(xué)以及驗(yàn)證庫開發(fā)出先進(jìn)驗(yàn)證環(huán)境。文章分為四部分,第一部分概述了用
    發(fā)表于 05-09 15:22 ?52次下載
    基于<b class='flag-5'>SystemVerilog</b>語言的驗(yàn)證<b class='flag-5'>方法</b>學(xué)介紹

    基于CPLD FPGA的數(shù)字通信系統(tǒng)建模與設(shè)計(jì)

    本書主要介紹了基于cpld/fpga的數(shù)字通信系統(tǒng)的設(shè)計(jì)原理與建模方法。從通信系統(tǒng)的組成、eda概述建模的概念開始(第1~2章),圍繞
    發(fā)表于 09-13 15:29 ?139次下載
    基于CPLD FPGA的<b class='flag-5'>數(shù)字</b>通信系統(tǒng)<b class='flag-5'>建模</b>與設(shè)計(jì)

    SystemVerilog硬件功能如何進(jìn)行建模

    本文定義了通常用于描述使用SystemVerilog硬件功能進(jìn)行建模的詳細(xì)級別的術(shù)語。
    的頭像 發(fā)表于 03-30 11:42 ?1567次閱讀

    數(shù)字硬件建模SystemVerilog-網(wǎng)絡(luò)

    每個(gè)SystemVerilog網(wǎng)絡(luò)類型都有特定的語義規(guī)則,這些規(guī)則會(huì)影響多個(gè)驅(qū)動(dòng)程序的解析方式。雖然所有網(wǎng)絡(luò)類型都表示硅行為,但并非所有網(wǎng)絡(luò)類型都可以用標(biāo)準(zhǔn)ASIC和FPGA技術(shù)表示。表3-3列出了ASIC和FPGA綜合編譯器支持的網(wǎng)絡(luò)類型。
    的頭像 發(fā)表于 05-09 09:26 ?1910次閱讀

    數(shù)字硬件建模SystemVerilog-結(jié)構(gòu)體

    默認(rèn)情況下,結(jié)構(gòu)體會(huì)被非壓縮的。這意味著結(jié)構(gòu)體的成員被視為獨(dú)立變量或常量,并以一個(gè)共同的名稱分組在一起。SystemVerilog沒有指定軟件工具應(yīng)該如何存儲(chǔ)非壓縮結(jié)構(gòu)體的成員。不同的軟件工具具對于結(jié)構(gòu)體的存儲(chǔ)分布也是不同的。
    的頭像 發(fā)表于 06-30 09:54 ?912次閱讀

    使用Verilog/SystemVerilog硬件描述語言練習(xí)數(shù)字硬件設(shè)計(jì)

    HDLBits 是一組小型電路設(shè)計(jì)習(xí)題集,使用 Verilog/SystemVerilog 硬件描述語言 (HDL) 練習(xí)數(shù)字硬件設(shè)計(jì)~
    的頭像 發(fā)表于 08-31 09:06 ?1497次閱讀

    數(shù)字硬件建模SystemVerilog-組合邏輯建模(1)連續(xù)賦值語句

    SystemVerilog有三種在可綜合RTL級別表示組合邏輯的方法:連續(xù)賦值語句、always程序塊和函數(shù)。接下來幾篇文章將探討每種編碼風(fēng)格,并推薦最佳實(shí)踐編碼風(fēng)格。
    的頭像 發(fā)表于 12-07 15:31 ?1327次閱讀

    RTL和門級建模

    SystemVerilog能夠在許多不同的細(xì)節(jié)級別(稱為“抽象級別”)對數(shù)字邏輯進(jìn)行建模。抽象意味著缺乏細(xì)節(jié)。數(shù)字模型越抽象,它所代表的硬件
    的頭像 發(fā)表于 02-09 14:20 ?925次閱讀
    RTL和門級<b class='flag-5'>建模</b>

    數(shù)字硬件建模SystemVerilogInterface和modport介紹

    SystemVerilog Interface是modport的一種,但比簡單的輸入、輸出或輸入輸出端口的功能更多。
    的頭像 發(fā)表于 04-28 14:12 ?3286次閱讀
    <b class='flag-5'>數(shù)字</b><b class='flag-5'>硬件</b><b class='flag-5'>建模</b><b class='flag-5'>SystemVerilog</b><b class='flag-5'>之</b><b class='flag-5'>Interface</b>和modport介紹

    SystemVerilog的覆蓋率建模方式

    為了確保驗(yàn)證的完備性,我們需要量化驗(yàn)證目標(biāo)。SystemVerilog提供了一套豐富的覆蓋率建模方式。
    的頭像 發(fā)表于 06-25 10:44 ?937次閱讀

    SystemVerilog硬件設(shè)計(jì)部分有哪些優(yōu)勢

    Language,硬件描述語言),而SystemVerilog則是HDVL(Hardware Design and Verification Language,硬件設(shè)計(jì)與驗(yàn)證語言)。由此可見,
    的頭像 發(fā)表于 10-19 11:19 ?942次閱讀
    <b class='flag-5'>SystemVerilog</b>在<b class='flag-5'>硬件</b>設(shè)計(jì)部分有哪些優(yōu)勢