0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

全網(wǎng)最實用的Verdi教程2

jf_78858299 ? 來源:芯片驗證日記 ? 作者:芯片驗證日記 ? 2023-05-05 14:53 ? 次閱讀

20 查詢模塊實例化位置

① 在設(shè)計結(jié)構(gòu)中雙擊實例化模塊的名字 ->源代碼窗口高亮了設(shè)計部分的模塊名。② 再雙擊高亮的模塊名->看到在上層模塊中的哪一行被調(diào)用了

21 在nTrace中如何查找模塊和信號

圖片

或Shift+A:

圖片

搜索通配符 *** **

22查找位于不同層次的驅(qū)動信號【跨層次查看信號,看最終驅(qū)動誰,或者看最終被誰驅(qū)動】

圖片

23 如何查看設(shè)計有哪些信號

通過最右下方signal_list來查看,可以選擇查看輸入/輸出等端口類型。

圖片

24 如何打開原理圖

選中設(shè)計-> new schematic

圖片

25 如何查看nShema Window中符號對應(yīng)的源代碼

雙擊對應(yīng)的功能單元電路圖,即可跳轉(zhuǎn)到描述該單元的源代碼

26 使用 Fan-In Cone追蹤某個信號的驅(qū)動邏輯

圖片

圖片

圖片

首先要用上面的辦法來查找信號,高亮選中;調(diào)用該工具:

這樣就可以看到某個信號是如何生成的(可以通過View選項來顯示信號名)

27 如何產(chǎn)生 partial hierarchy schematic

用來查看與選擇特定信號有關(guān)的邏輯/模塊

①選擇需要查看的信號(可以通過shift鍵來選擇多個信號)

②:

圖片

選擇查看與wclk和wrst_n有關(guān)的信號/模塊/邏輯:

圖片

28 如何移動原理圖中的器件

圖片

鼠標左鍵選中,按住右鍵進行拖拽。

29 狀態(tài)機相關(guān)操作

[1] 打開原理圖

圖片

[2] 雙擊相應(yīng)狀態(tài)機視圖后,呈現(xiàn)狀態(tài)機圖

圖片

[3] 查看狀態(tài)的執(zhí)行和跳轉(zhuǎn)
[4] 查看狀態(tài)以及節(jié)點的相關(guān)源代碼

選擇狀態(tài),然后使用鼠標中鍵拖拽狀態(tài)到nTrace中的源代碼視圖中即可

圖片

[5] 查看在什么時候狀態(tài)機轉(zhuǎn)移到某個狀態(tài)?《與tb有關(guān)》

圖片

圖片

① 要依靠tb②打開nstate后,要導(dǎo)入波形③

圖片

圖片圖片

[6] 查看某一種狀態(tài)轉(zhuǎn)移【狀態(tài)循環(huán)】情況是否會發(fā)生

圖片

圖片

圖片

[7] 如何查看某個狀態(tài)被執(zhí)行了多少次(與TB有關(guān))

圖片

圖片

[8] 如何查看某個狀態(tài)轉(zhuǎn)移到另一個狀態(tài)的轉(zhuǎn)移次數(shù)(與TB有關(guān))

圖片

(五) Verd波形(含emulation)加載

1 打開波形

打開常規(guī)波形:

verdi -dbdir ./simv.dair -ssf debug.fsdb

打開emulation的波形:

verdi -dbdir ./simv.dair -ssf debug.zwd

打開emulation轉(zhuǎn)換前的波形:

verdi -emulation --zebu-work zebu.work --timescale 1ns --input debug.ztdb

打開fsdb波形和編譯文件

verdi  -elab   com_path  -ssf  fsdb_path
com_path:編譯產(chǎn)生的臨時文件存放的路徑;例:*.daidir/kdb.elab++;
fsdb_path:編譯產(chǎn)生的fsdb的路徑;

2 打開 source code

如果沒有kdb文件,可以通過flist打開source code

verdi -f tb_top.flist

3 加載設(shè)計

veidi加載設(shè)計的腳本命令

verdi -sv -f tb_top_filelist.f -top tb_top &

告知軟件支持SystemVerilog,加載設(shè)計的文件列表,設(shè)置設(shè)計頂層,后臺執(zhí)行

4 重新加載設(shè)計和波形

圖片

選中波形或設(shè)計文件L(shift + i) 重新加載波形或設(shè)計文件,在新一次仿真完成之后Roload即可。

5 波形文件保存*.rc

圖片

使用verdi保存已經(jīng)拉出來的信號方便下次使用,按鍵shift+S可以將波形保存為xxx.rc格式文件,使用波形文件時,按快捷鍵r打開界面,選擇波形。可以避免下次打開重新添加信號。

圖片

(六) Verdi常用快捷鍵總結(jié)

ctrl+w:將鼠標指向需要添加到波形上的信號名,通過該快捷鍵將該信號添加到波形上;
shift+l:當鼠標指向波形區(qū)時刷新波形,當鼠標指向代碼區(qū)(src1)時刷新代碼;
z:縮小波形,顯示更長時間的波形;
Z:放大波形,顯示更短時間內(nèi)的波形;
f:顯示全局的波形;
shift+s:將當前存在于到波形區(qū)的信號保存為.rc后綴的信號列表;
shift+m:波形區(qū)快捷添加標記時刻;
ctrl+d:刪除所有信號列表;
x:在代碼區(qū)快捷查看所有信號的當前狀態(tài);
   在波形區(qū)域固定curson(鼠標左鍵)和marker(鼠標中鍵)的距離;
h:在波形區(qū)快捷查看信號的全路徑;
c:自定義信號的顏色和粗細;
t:按一次切換一次信號顏色;
m:將信號移動到黃線位置;
y:移至中央并保持居中,再按取消固定居中;
n:指針跳到信號的下一個跳變沿;
N:指針跳到信號的上一個跳變沿;
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • VCS
    VCS
    +關(guān)注

    關(guān)注

    0

    文章

    78

    瀏覽量

    9537
  • Verdi
    +關(guān)注

    關(guān)注

    0

    文章

    22

    瀏覽量

    8741
  • 芯片驗證
    +關(guān)注

    關(guān)注

    5

    文章

    34

    瀏覽量

    47143
  • DEBUG
    +關(guān)注

    關(guān)注

    3

    文章

    89

    瀏覽量

    19775
收藏 人收藏

    評論

    相關(guān)推薦

    請教VCS和verdi怎么聯(lián)合使用

    最近要用到VCS仿真后生成FSDB文件,然后在Verdi中進行自動偵錯,請問我怎么安裝Verdi這個軟件以及如何啟動license,并且怎么寫testbench文件才可以產(chǎn)生FSDB文件。請懂得人給我支支招,我也是剛開始學(xué)習(xí)這個軟件的使用。
    發(fā)表于 01-22 14:53

    Verdi工具怎么安裝?如何破解?

    本文介紹Verdi工具的安裝及破解過程
    發(fā)表于 06-21 07:15

    VCS+Verdi如何安裝?怎么破解?

    VCS+Verdi如何安裝?怎么破解?
    發(fā)表于 06-21 06:11

    VCS仿真卡住,為什么無法生成verdi波形文件呢?

    在make com編譯成功后,terminal卡住了一直沒有反應(yīng),這是什么情況有大佬知道嗎?VCS仿真卡住,為什么無法生成verdi波形文件呢?
    發(fā)表于 06-21 08:14

    怎么修改verdi軟件波形背景顏色?

    畢業(yè)設(shè)計中用到verdi的波形,需要波形窗口的背景最好為白色,默認為黑色。怎么修改設(shè)置,謝謝在線等,經(jīng)過半天的嘗試,和各位大神的請教,終于搞定了,拿出來和各位大神分享,希望更多的人參與到分享中來,謝謝[/td]
    發(fā)表于 06-23 10:32

    Verdi 54v7 LINUX

    Verdi 54v7 LINUX
    發(fā)表于 03-25 13:19 ?9次下載

    verdi-SP2軟件下載

    本文檔內(nèi)容提供了verdi-SP2軟件免費下載,供有需要的朋友參考
    發(fā)表于 04-19 17:28 ?7次下載

    Verdi使用技巧 連續(xù)有效信號量測方法

    Verdi自動化調(diào)試系統(tǒng)是Verdi SoC調(diào)試平臺的核心,它支持對所有設(shè)計和驗證流程進行全面的調(diào)試。它包括強大的技術(shù),幫助您理解復(fù)雜和不熟悉的設(shè)計行為,讓困難和乏味的調(diào)試過程自動化,并能讓多樣和復(fù)雜的設(shè)計環(huán)境一致化。
    的頭像 發(fā)表于 05-15 15:46 ?9669次閱讀
    <b class='flag-5'>Verdi</b>使用技巧 連續(xù)有效信號量測方法

    如何通過自動化腳本實現(xiàn)Questasim和Verdi的聯(lián)合仿真

    Verdi是用來仿真以及debug波形的工具,但它不能夠用來編譯verilog和systemverilog文件,所以需要借助第三方EDA工具去做編譯的工作。 這里主要分享一下使用questasim
    的頭像 發(fā)表于 06-13 17:00 ?4325次閱讀
    如何通過自動化腳本實現(xiàn)Questasim和<b class='flag-5'>Verdi</b>的聯(lián)合仿真

    分享《verdi用法小結(jié)》的pdf

    分享Verdi用法小結(jié)的pdf文檔
    的頭像 發(fā)表于 02-18 20:21 ?1066次閱讀
    分享《<b class='flag-5'>verdi</b>用法小結(jié)》的pdf

    Verdi使用技巧(三)

    前一段時間IC君比較忙,沒有更新文章,最近稍微閑下來點,繼續(xù)更新Verdi相關(guān)的文章。
    的頭像 發(fā)表于 04-25 14:44 ?4175次閱讀
    <b class='flag-5'>Verdi</b>使用技巧(三)

    全網(wǎng)最實用的Verdi教程1

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業(yè)常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進行代碼的仿真與檢查。Verdi
    的頭像 發(fā)表于 05-05 14:49 ?1.6w次閱讀
    <b class='flag-5'>全網(wǎng)</b>最實用的<b class='flag-5'>Verdi</b>教程1

    全網(wǎng)最實用的Verdi教程3

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業(yè)常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進行代碼的仿真與檢查。Verdi
    的頭像 發(fā)表于 05-05 14:53 ?5185次閱讀
    <b class='flag-5'>全網(wǎng)</b>最實用的<b class='flag-5'>Verdi</b>教程3

    Verdi環(huán)境配置、生成波形的方法

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業(yè)常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式進行代碼的仿真與檢查
    的頭像 發(fā)表于 05-29 09:48 ?3190次閱讀
    <b class='flag-5'>Verdi</b>環(huán)境配置、生成波形的方法

    Verdi連續(xù)波激光器-Coherent

    描述: Verdi系列激光器,是基于OPSL專利技術(shù),是高功率的532nm綠光激光器。常用于鈦寶石激光器泵浦、全息、干涉、冷原子等領(lǐng)域。 Verdi系列激光器,是久負盛名的激光器,具有非常出色
    的頭像 發(fā)表于 06-30 09:47 ?438次閱讀
    <b class='flag-5'>Verdi</b>連續(xù)波激光器-Coherent