0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA中的fast corner和slow corner介紹

jf_78858299 ? 來源:傅里葉的貓 ? 作者: 張大俠 ? 2023-05-05 15:50 ? 次閱讀

FPGA的時(shí)序分析頁面,我們經(jīng)常會(huì)看到Max at Slow Process CornerMin at Fast Process Corner,具體是什么含義呢?

image-20220806233120287

image-20220806233155182

什么是process corner(工藝角)?

維基百科給出的解釋為:

In semiconductor manufacturing, a process corner is an example of a design-of-experiments (DoE) technique that refers to a variation of fabrication parameters used in applying an integrated circuit design to a semiconductor wafer. Process corners represent the extremes of these parameter variations within which a circuit that has been etched onto the wafer must function correctly. A circuit running on devices fabricated at these process corners may run slower or faster than specified and at lower or higher temperatures and voltages, but if the circuit does not function at all at any of these process extremes the design is considered to have inadequate design margin.

再給出知乎上的一段解釋:

與雙極晶體管不同,在不同的晶片之間以及在不同的批次之間,MOSFETs 參數(shù)變化很 大。為了在一定程度上減輕電路設(shè)計(jì)任務(wù)的困難,工藝工程師們要保證器件的性能在某 個(gè)范圍內(nèi)。如果超過這個(gè)范圍,就將這顆IC報(bào)廢了,通過這種方式來保證IC的良率。傳統(tǒng)上,提供給設(shè)計(jì)師的性能范圍只適用于數(shù)字電路并以“工藝角”(Process Corners)的形式給出。其思想是:把NMOS和PMOS晶體管的速度波動(dòng)范圍限制在由四個(gè)角所確定的矩形內(nèi)。這四個(gè)角分別是:快NFET和快PFET,慢NFET和慢PFET,快NFET和慢PFET,慢NFET和快PFET。例如,具有較薄的柵氧、較低閾值電壓的晶體管,就落在快角附近。從晶片中提取與每一個(gè)角相對應(yīng)的器件模型時(shí),片上NMOS和PMOS的測試結(jié)構(gòu)顯示出不同的門延遲,而這些角的實(shí)際選取是為了得到可接受的成品率。因此,只有滿足這些性能的指標(biāo)的晶片才認(rèn)為是合格的。在各種工藝角和極限溫度條件下對電路進(jìn)行仿真是決定成品率的基礎(chǔ)。

再補(bǔ)充點(diǎn)芯片工藝的其他小知識(shí):

即便是同一種FF,在同一個(gè)芯片上不同操作條件下的延時(shí)都不盡相同,我們稱這種現(xiàn)象為OCV(on-chip variation)。OCV表示的是芯片內(nèi)部的時(shí)序偏差,雖然很細(xì)小,但是也必須嚴(yán)格考慮到時(shí)序分析中去。

產(chǎn)生OCV的原因主要有PVT(Process / Voltage / Temperature)三個(gè)方面,而STA要做的就是針對不同工藝角(Process Corner)下特定的時(shí)序模型來分析時(shí)序路徑,從而保證設(shè)計(jì)在任何條件下都能滿足時(shí)序要求,可以正常工作。

用白話來說:由于工藝的原因,在這些cornor下可能會(huì)有不同的性能。

在FPGA設(shè)計(jì)中的靜態(tài)時(shí)序分析一般僅考慮Best Case和Worst Case,也稱作Fast Process Corner 和Slow Process Corner,分別對應(yīng)極端的PVT條件。

image-20220807095728873

Slow Corner Model: 最高溫度,最低電壓下的模型

Fast Corner Model: 最低溫度,最高電壓下的模型

在Vivado中,會(huì)對以上兩個(gè)corner進(jìn)行時(shí)序分析,并給出最差情況的報(bào)告。

在setup中分析的是slow process corner,在hold中分析的是fast process corner,這個(gè)跟我們第一個(gè)圖也是對應(yīng)的。如果在slow process corner模型下能滿足setup的要求,那么其他模型也就都能滿足;如果在fast process corner模型下能滿足hold的要求,那么其他模型下也都能滿足。

總結(jié):這兩個(gè)概念都是跟工藝有關(guān)系的,跟fpga開發(fā)者的關(guān)系并不太大,但對這個(gè)概念我們還是要熟悉的,比如同一個(gè)路徑,setup分析時(shí)和hold分析的時(shí)延所有所不同,就是因?yàn)椴捎貌荒艿哪P头治鰧?dǎo)致。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598981
  • 時(shí)序
    +關(guān)注

    關(guān)注

    5

    文章

    370

    瀏覽量

    37186
收藏 人收藏

    評論

    相關(guān)推薦

    流片Corner Wafer介紹

    :Typical N Typical P FF:Fast N Fast P SS:Slow N Slow P FS:Fast N
    的頭像 發(fā)表于 12-01 13:31 ?1901次閱讀
    流片<b class='flag-5'>Corner</b> Wafer<b class='flag-5'>介紹</b>

    玻璃反光也能誤識(shí)別?當(dāng)自動(dòng)駕駛遇到千奇百怪的corner case

    電子發(fā)燒友網(wǎng)報(bào)道(文/梁浩斌)Corner case在自動(dòng)駕駛是指行駛過程可能出現(xiàn),但發(fā)生頻率極低的小概率事件。盡管平時(shí)很少會(huì)遇到,但對于自動(dòng)駕駛系統(tǒng)來說,遇到無法做出決策的corner
    的頭像 發(fā)表于 10-19 01:21 ?3057次閱讀

    怎么在FASTSLOW廣告之間切換

    to dynamically switch between the SLOW and the FAST advertising based on the energy available on the temporary
    發(fā)表于 12-13 14:55

    請問如何去掉file namesyn的后綴?

    如何去掉file namesyn的后綴library name添加corner信息
    發(fā)表于 12-15 07:09

    請問如何使用ocean進(jìn)行corner仿真并用波形查看器查看結(jié)果?

    請問如何使用ocean進(jìn)行corner仿真并用波形查看器查看結(jié)果?
    發(fā)表于 06-24 07:50

    用ADE XLall跑all Corner時(shí) 第一個(gè)Corner為什么總是會(huì)報(bào)錯(cuò)?

    在用 ADE XL仿真出現(xiàn)一個(gè)error ERRO ID:5010在用 ADE XLall 跑all Corner 的時(shí)候,第一個(gè)Corner總是會(huì)報(bào)錯(cuò)。不知道怎么回事,outputlog里面又沒有顯示有錯(cuò),
    發(fā)表于 06-25 06:04

    Dark Corner將在萬圣節(jié)推出一些恐怖VR體驗(yàn),迎接萬圣節(jié)的到來

    “10月是我們在Dark Corner最喜歡的季節(jié),我們很高興能通過這些激動(dòng)人心的電影來擴(kuò)展我們的生活,同時(shí)繼續(xù)與世界各地的其他虛擬現(xiàn)實(shí)媒體和LBE場館建立新的合作關(guān)系,”Dark Corner的CEO蓋伊謝爾默丁在一份官方聲明
    發(fā)表于 10-30 09:15 ?631次閱讀

    FPGA時(shí)序分析時(shí)fast cornerslow corner是什么?

    與雙極晶體管不同,在不同的晶片之間以及在不同的批次之間,MOSFETs 參數(shù)變化很 大。為了在一定程度上減輕電路設(shè)計(jì)任務(wù)的困難,工藝工程師們要保證器件的性能在某 個(gè)范圍內(nèi)。
    發(fā)表于 08-10 11:29 ?2776次閱讀

    玻璃反光也能誤識(shí)別?當(dāng)自動(dòng)駕駛遇到千奇百怪的corner case

    電子發(fā)燒友網(wǎng)報(bào)道(文/梁浩斌)Corner case在自動(dòng)駕駛是指行駛過程可能出現(xiàn),但發(fā)生頻率極低的小概率事件。盡管平時(shí)很少會(huì)遇到,但對于自動(dòng)駕駛系統(tǒng)來說,遇到無法做出決策的corner
    的頭像 發(fā)表于 10-19 07:20 ?1081次閱讀

    純python實(shí)現(xiàn)(一)Harris corner

    首先使用Harris Corner特征檢測器和sift描述符檢測圖像的特征,并在其他圖像中找到最佳匹配特征; 然后使用RANSAC 對齊照片(確定它們的重疊和相對位置),最后將生成的圖像拼接到一個(gè)無縫的全景圖中。
    的頭像 發(fā)表于 03-01 11:41 ?1001次閱讀
    純python實(shí)現(xiàn)(一)Harris <b class='flag-5'>corner</b>

    溫度反轉(zhuǎn)效應(yīng)它到底為什么會(huì)發(fā)生呢?

    在做STA Signoff時(shí),對于Setup來說選擇Slow Corner,也就是慢工藝(SS)、低壓(Low Voltage)、高溫(High Temperature);
    的頭像 發(fā)表于 04-07 09:37 ?4136次閱讀

    RC Corner基礎(chǔ)知識(shí)詳細(xì)講解

    90nm后更新的工藝,考慮到互連線coupling的影響,出現(xiàn)了RCworst, RCbest corner.
    的頭像 發(fā)表于 06-11 15:32 ?5354次閱讀
    RC <b class='flag-5'>Corner</b>基礎(chǔ)知識(shí)詳細(xì)講解

    UltraEM?的Corner Sweep仿真實(shí)例

    UltraEM可以使用Corner Sweep來仿真工藝變化對器件結(jié)構(gòu)造成的影響,具體包含三種仿真模式:MonteCarlo仿真、Perturbation仿真與Corner仿真。
    的頭像 發(fā)表于 06-19 10:25 ?679次閱讀
    UltraEM?的<b class='flag-5'>Corner</b> Sweep仿真實(shí)例

    芯片制造流片Corner介紹

    為不同的corner: TT:Typical N Typical P FF:Fast N Fast P SS:Slow N Slow P F
    的頭像 發(fā)表于 11-01 15:57 ?4577次閱讀
    芯片制造流片<b class='flag-5'>Corner</b><b class='flag-5'>介紹</b>

    芯片后端signoff的RC corner指什么?

    今天想聊一聊STA相關(guān)的RC corner的問題。我先簡單介紹一些什么是signoff的corner,然后重點(diǎn)聊一聊RC corner
    的頭像 發(fā)表于 12-05 14:11 ?999次閱讀