0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

簡(jiǎn)述Vivado中的Elaborate的作用

jf_78858299 ? 來(lái)源:傅里葉的貓 ? 作者:張大俠 ? 2023-05-05 16:00 ? 次閱讀

在Vivado的界面中,有個(gè)RTL ANALYSIS->Open Elaborated Design的選項(xiàng),可能很多工程師都沒(méi)有使用過(guò)。因?yàn)榇蠹一径际菑腞un Synthesis開(kāi)始的。

elaborate可以翻譯為“詳盡解析”,就是將RTL源代碼翻譯轉(zhuǎn)換成對(duì)應(yīng)的電路。

有同學(xué)會(huì)問(wèn),這不是Synthesis做的工作嗎?

我們可以來(lái)比較一下Elaborate和Synthesis后的Schematic就很直觀了:

Elaborated Design:

image-20221023165430449

Synthesisd Design:

image-20221023165346017

可以看出,Elaborated Design里面的電路是單純的對(duì)RTL源代碼的解析,到了Synthesisd Design,則可以看到Xilinx的具體的庫(kù)單元,比如LUT3、FDRE等,都是在Xilinx FPGA中真實(shí)存在的。

其實(shí)在綜合的log文件,看到Elaborate的存在,綜合的第一步就是先進(jìn)行Elaborate:

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59519
  • design
    +關(guān)注

    關(guān)注

    0

    文章

    154

    瀏覽量

    45644
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65855
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    怎么在Vivado 2016.1關(guān)閉特定的DRC違規(guī)或警告?

    你好,有沒(méi)有辦法在Vivado 2016.1關(guān)閉特定的DRC違規(guī)或警告?其次是AR#63997的方向,我試過(guò):set_property嚴(yán)重性警告[get_drc_checks RTSTAT-2
    發(fā)表于 10-26 15:03

    如何從崩潰的vivado安裝恢復(fù)或如何卸載部分安裝

    。UG973描述的卸載過(guò)程不起作用,因?yàn)闆](méi)有 /.xinstall/Vivado_2017.2/xsetup這可以用'-Uninstall'開(kāi)頭。所以我用'rm -rf'刪除了 /.xinstall
    發(fā)表于 12-25 11:10

    Vivado設(shè)計(jì)套件有何作用

    Vivado設(shè)計(jì)套件有何作用?Verilog HDL是什么?STM32按內(nèi)核架構(gòu)分為哪些?
    發(fā)表于 10-11 07:22

    基于linux系統(tǒng)實(shí)現(xiàn)的vivado調(diào)用VCS仿真教程

    在linux系統(tǒng)上實(shí)現(xiàn)vivado調(diào)用VCS仿真教程 作用vivado調(diào)用VCS仿真可以加快工程的仿真和調(diào)試,提高效率。 前期準(zhǔn)備:確認(rèn)安裝vivado軟件和VCS軟件 VCS軟件最
    的頭像 發(fā)表于 07-05 03:30 ?1.1w次閱讀
    基于linux系統(tǒng)實(shí)現(xiàn)的<b class='flag-5'>vivado</b>調(diào)用VCS仿真教程

    Vivado 2017.1和Vivado 2016.4性能對(duì)比分析

    ??傮w而言,Vivado 2017.1比Vivado2016.4給出了更好的效果。雖然在測(cè)試1的結(jié)果有些相似,但是Vivado2017.1從測(cè)試2和3
    的頭像 發(fā)表于 07-04 11:23 ?1w次閱讀
    <b class='flag-5'>Vivado</b> 2017.1和<b class='flag-5'>Vivado</b> 2016.4性能對(duì)比分析

    Tcl在Vivado的基礎(chǔ)應(yīng)用

    Vivado使用技巧的最大障礙,以至于兩年多后的今天,仍有很多用戶缺乏升級(jí)到Vivado的信心。本文介紹了Tcl在Vivado的基礎(chǔ)應(yīng)用,希望起到拋磚引玉的
    發(fā)表于 11-18 03:52 ?4851次閱讀
    Tcl在<b class='flag-5'>Vivado</b><b class='flag-5'>中</b>的基礎(chǔ)應(yīng)用

    Vivado使用誤區(qū)與進(jìn)階——在Vivado實(shí)現(xiàn)ECO功能

    關(guān)于Tcl在Vivado的應(yīng)用文章從Tcl的基本語(yǔ)法和在Vivado的應(yīng)用展開(kāi),介紹了如何擴(kuò)展甚至是定制FPGA設(shè)計(jì)實(shí)現(xiàn)流程后,引出了一個(gè)更細(xì)節(jié)的應(yīng)用場(chǎng)景:如何利用Tcl在已完成布
    發(fā)表于 11-18 18:26 ?5255次閱讀
    <b class='flag-5'>Vivado</b>使用誤區(qū)與進(jìn)階——在<b class='flag-5'>Vivado</b><b class='flag-5'>中</b>實(shí)現(xiàn)ECO功能

    簡(jiǎn)述功率放大器的作用

    簡(jiǎn)述功率放大器的作用。功率放大器最主要的作用就是用來(lái)放大音量的,除了放大音量之外,還具有提高音質(zhì)的作用
    的頭像 發(fā)表于 09-01 11:36 ?3.3w次閱讀

    如何在Vivado應(yīng)用物理優(yōu)化獲得更好的設(shè)計(jì)性能

    物理優(yōu)化是Vivado實(shí)現(xiàn)流程更快時(shí)序收斂的重要組成部分。 了解如何在Vivado應(yīng)用此功能以交換運(yùn)行時(shí)以獲得更好的設(shè)計(jì)性能。
    的頭像 發(fā)表于 11-23 06:06 ?3940次閱讀

    Vivado 2014.1的許可和激活概述

    了解如何使用2014.1引入的新激活許可為Vivado工具生成許可證。 另外,了解Vivado 2014.1的許可更改如何影響您,以及如何在激活客戶端中使用新的
    的頭像 發(fā)表于 11-22 07:10 ?2894次閱讀

    在Vitis把Settings信息傳遞到底層的Vivado

    本篇文章來(lái)自賽靈思高級(jí)工具產(chǎn)品應(yīng)用工程師 Hong Han. 本篇博文將繼續(xù)介紹在Vitis把Settings信息傳遞到底層的Vivado. 對(duì)于Vivado實(shí)現(xiàn)階段策略的指定
    的頭像 發(fā)表于 08-13 14:35 ?4130次閱讀

    簡(jiǎn)述揚(yáng)塵噪聲監(jiān)測(cè)系統(tǒng)的作用及功能

    簡(jiǎn)述揚(yáng)塵噪聲監(jiān)測(cè)系統(tǒng)的作用及功能
    發(fā)表于 10-20 17:49 ?5次下載

    VivadoElaborate是做什么的?

    Vivado的界面,有個(gè)RTL ANALYSIS->Open Elaborated Design的選項(xiàng),可能很多工程師都沒(méi)有使用過(guò)。因?yàn)榇蠹一径际菑腞un Synthesis開(kāi)始的。
    的頭像 發(fā)表于 10-24 10:05 ?1293次閱讀

    Vivado實(shí)現(xiàn)ECO功能

    關(guān)于 Tcl 在 Vivado的應(yīng)用文章從 Tcl 的基本語(yǔ)法和在 Vivado 的 應(yīng)用展開(kāi),繼上篇《用 Tcl 定制 Vivado
    的頭像 發(fā)表于 05-05 15:34 ?2285次閱讀
    在<b class='flag-5'>Vivado</b><b class='flag-5'>中</b>實(shí)現(xiàn)ECO功能

    如何在Vivado添加時(shí)序約束呢?

    今天介紹一下,如何在Vivado添加時(shí)序約束,Vivado添加約束的方法有3種:xdc文件、時(shí)序約束向?qū)В–onstraints Wizard)、時(shí)序約束編輯器(Edit Timing Constraints )
    的頭像 發(fā)表于 06-26 15:21 ?3359次閱讀
    如何在<b class='flag-5'>Vivado</b><b class='flag-5'>中</b>添加時(shí)序約束呢?