0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

跨時鐘域電路設(shè)計總結(jié)

FPGA技術(shù)驛站 ? 來源:FPGA技術(shù)驛站 ? 2023-05-18 09:18 ? 次閱讀

時鐘域操作包括同步跨時鐘域操作和異步跨時鐘域操作。同步跨時鐘域意味著發(fā)送時鐘和接收時鐘是同步的,比如兩個時鐘是同一個MMCM生成的,在這種情況下,這兩個時鐘有明確的相位關(guān)系。

所以,同步跨時鐘域操作重要的是做好約束。無論是從快時鐘域到慢時鐘域還是從慢時鐘域到快時鐘域,都可以通過多周期路徑約束實現(xiàn),同時還要注意時鐘偏移對跨時鐘域路徑時序的影響。

對于異步跨時鐘域操作,由于發(fā)送時鐘和接收時鐘是異步的,也就是兩者不存在明確的相位關(guān)系,這時既要從硬件電路上保證設(shè)計是安全的,又要從約束層面確保數(shù)據(jù)被穩(wěn)定接收。

根據(jù)數(shù)據(jù)位寬可分為兩種情形:單位寬信號跨時鐘域和多位寬信號跨時鐘域。此時,可使用XPM_CDC,具體使用哪個模塊,可參考如下兩個流程。

5dc49c34-f519-11ed-90ce-dac502259ad0.png

5dd43626-f519-11ed-90ce-dac502259ad0.png






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • CDC
    CDC
    +關(guān)注

    關(guān)注

    0

    文章

    56

    瀏覽量

    17718
  • XPM
    XPM
    +關(guān)注

    關(guān)注

    0

    文章

    12

    瀏覽量

    8653

原文標(biāo)題:跨時鐘域電路設(shè)計(8):總結(jié)

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    關(guān)于時鐘信號的處理方法

    我在知乎看到了多bit信號時鐘的問題,于是整理了一下自己對于時鐘信號的處理方法。
    的頭像 發(fā)表于 10-09 10:44 ?5612次閱讀

    時鐘的解決方案

    在很久之前便陸續(xù)談過亞穩(wěn)態(tài),F(xiàn)IFO,復(fù)位的設(shè)計。本次亦安做一個簡單的總結(jié),從宏觀上給大家展示時鐘的解決方案。
    的頭像 發(fā)表于 01-08 09:42 ?713次閱讀
    <b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>的解決方案

    FPGA時鐘處理簡介

    (10)FPGA時鐘處理1.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)FPGA時鐘
    發(fā)表于 02-23 07:47

    cdc路徑方案幫您解決時鐘難題

    這一章介紹一下CDC也就是時鐘可能存在的一些問題以及基本的時鐘處理方法。
    的頭像 發(fā)表于 11-30 06:29 ?6974次閱讀
    cdc路徑方案幫您解決<b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>難題

    電路的角度出發(fā),提出了一種新的SOC時鐘同步電路設(shè)計的方法

    針對當(dāng)前SOC內(nèi)部時鐘越來越復(fù)雜、接口越來越多以及亞穩(wěn)態(tài)、漏信號等常見的各種問題,分析了以往的優(yōu)化方法的優(yōu)缺點(diǎn),然后從電路的角度出發(fā),提出了一種新的SOC時鐘
    的頭像 發(fā)表于 02-09 14:30 ?6557次閱讀
    從<b class='flag-5'>電路</b>的角度出發(fā),提出了一種新的SOC<b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>同步<b class='flag-5'>電路設(shè)計</b>的方法

    如何利用FPGA設(shè)計一個時鐘的同步策略?

    帶來的亞穩(wěn)態(tài)、采樣丟失、潛在邏輯錯誤等等一系列問題處理不當(dāng),將導(dǎo)致系統(tǒng)無法運(yùn)行。本文總結(jié)出了幾種同步策略來解決時鐘問題。
    的頭像 發(fā)表于 09-01 08:29 ?5442次閱讀
    如何利用FPGA設(shè)計一個<b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>的同步策略?

    關(guān)于FPGA中時鐘的問題分析

    時鐘問題(CDC,Clock Domain Crossing )是多時鐘設(shè)計中的常見現(xiàn)象。在FPGA領(lǐng)域,互動的異步時鐘
    發(fā)表于 08-19 14:52 ?3219次閱讀

    關(guān)于時鐘的詳細(xì)解答

    每一個做數(shù)字邏輯的都繞不開時鐘處理,談一談SpinalHDL里用于時鐘處理的一些手段方法
    的頭像 發(fā)表于 04-27 10:52 ?4141次閱讀
    關(guān)于<b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>的詳細(xì)解答

    時鐘電路設(shè)計:多位寬數(shù)據(jù)通過FIFO時鐘

    FIFO是實現(xiàn)多位寬數(shù)據(jù)的異步時鐘操作的常用方法,相比于握手方式,F(xiàn)IFO一方面允許發(fā)送端在每個時鐘周期都發(fā)送數(shù)據(jù),另一方面還可以對數(shù)據(jù)進(jìn)行緩存。需要注意的是對FIFO控制信號的管
    的頭像 發(fā)表于 05-11 14:01 ?2554次閱讀
    <b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b><b class='flag-5'>電路設(shè)計</b>:多位寬數(shù)據(jù)通過FIFO<b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>

    FPGA時鐘處理方法(一)

    時鐘是FPGA設(shè)計中最容易出錯的設(shè)計模塊,而且一旦時鐘出現(xiàn)問題,定位排查會非常困難,因為
    的頭像 發(fā)表于 05-25 15:06 ?1733次閱讀
    FPGA<b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>處理方法(一)

    FPGA時鐘處理方法(二)

    上一篇文章已經(jīng)講過了單bit時鐘的處理方法,這次解說一下多bit的時鐘方法。
    的頭像 發(fā)表于 05-25 15:07 ?842次閱讀
    FPGA<b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>處理方法(二)

    時鐘電路設(shè)計—單比特信號傳輸

    時鐘(CDC)的應(yīng)從對亞穩(wěn)定性和同步性的基本了解開始。
    的頭像 發(fā)表于 06-27 14:25 ?877次閱讀
    <b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b><b class='flag-5'>電路設(shè)計</b>—單比特信號傳輸

    時鐘電路設(shè)計:單位寬信號如何時鐘

    單位寬(Single bit)信號即該信號的位寬為1,通常控制信號居多。對于此類信號,如需時鐘可直接使用xpm_cdc_single,如下圖代碼所示。參數(shù)DEST_SYNC_FF決定了級聯(lián)觸發(fā)器
    的頭像 發(fā)表于 08-16 09:53 ?987次閱讀
    <b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b><b class='flag-5'>電路設(shè)計</b>:單位寬信號如何<b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>

    fpga時鐘通信時,慢時鐘如何讀取快時鐘發(fā)送過來的數(shù)據(jù)?

    時,由于時鐘頻率不同,所以可能會產(chǎn)生元件的不穩(wěn)定情況,導(dǎo)致傳輸數(shù)據(jù)的錯誤。此時我們需要采取一些特殊的措施,來保證時鐘傳輸?shù)恼_性。 FPGA
    的頭像 發(fā)表于 10-18 15:23 ?875次閱讀

    如何處理時鐘這些基礎(chǔ)問題

    對于數(shù)字設(shè)計人員來講,只要信號從一個時鐘跨越到另一個時鐘,那么就可能發(fā)生亞穩(wěn)態(tài)。我們稱為“時鐘
    發(fā)表于 01-08 09:39 ?430次閱讀
    如何處理<b class='flag-5'>跨</b><b class='flag-5'>時鐘</b><b class='flag-5'>域</b>這些基礎(chǔ)問題