0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

簡述SiP項(xiàng)目成功的三要素

jf_78858299 ? 來源:RFIC封裝攻城獅之家 ? 作者:RFIC封裝攻城獅之家 ? 2023-05-19 10:55 ? 次閱讀

?

1 SiP項(xiàng)目成功的三要素

做SiP項(xiàng)目的人,都希望項(xiàng)目能夠一次成功,取得同行和領(lǐng)導(dǎo)的認(rèn)可,從而爭取更多的項(xiàng)目和經(jīng)費(fèi)支持。那怎么才能使項(xiàng)目一次成功呢?這就需要我們把握好SiP項(xiàng)目成功的三要素,那就是: 芯片、設(shè)計(jì)仿真、生產(chǎn)制造 。

1.1 裸芯片

裸芯片(die,bare die,bare chip),通常是指半導(dǎo)體元器件制造完成,封裝之前的產(chǎn)品形式。裸芯片通常是以晶圓形式(wafer form)或單顆芯片(die form)的形式存在,封裝后成為半導(dǎo)體元件、集成電路、或更復(fù)雜電路例如系統(tǒng)級封裝SiP的組成部分。

在國內(nèi),由于國外芯片禁運(yùn)等原因,很多國外芯片難以購買,對于裸芯片更是如此。經(jīng)常一款SiP項(xiàng)目中需要的部分裸芯片無法購買到,這時(shí)該項(xiàng)目就難以繼續(xù)。根據(jù)以往的經(jīng)驗(yàn),通常的解決方法有以下四種:

  1. 采用***代替,目前***也是遍地開花,通常國際上比較著名的芯片廠商的產(chǎn)品在國內(nèi)都可以找到對應(yīng)的替代品,雖然在性能和容量等方面還無法達(dá)到國際同類產(chǎn)品的水準(zhǔn),但很大程度上已經(jīng)能滿足SiP項(xiàng)目的需要了。
  2. 采用同類芯片代替,例如AD,DA、運(yùn)放類裸芯片,很多功能相近,可以通用,滿足設(shè)計(jì)指標(biāo)的前提下,可以用可購買到的同類芯片替代。
  3. 更改設(shè)計(jì)方案,對設(shè)計(jì)方案進(jìn)行合理的裁剪,例如一個(gè)完整的計(jì)算機(jī)系統(tǒng)并不一定要完全在一個(gè)SiP中實(shí)現(xiàn),可以分成例如系統(tǒng)主控SiP,數(shù)據(jù)處理SiP,接口管理SiP等,這樣就比較容易首先實(shí)現(xiàn)某一部分功能的SiP了。
  4. 實(shí)在找不到裸芯片但還必須在項(xiàng)目中用的芯片,可以采用小封裝例如CSP,QFN等代替,這個(gè)需要提前和生產(chǎn)廠家溝通工藝兼容性問題。

以上四種方法在實(shí)際項(xiàng)目中都有應(yīng)用,也取得了良好的效果,用戶最終都做出了滿意的SiP產(chǎn)品,實(shí)現(xiàn)了應(yīng)有的功能。

1.2 設(shè)計(jì)仿真

目前,SiP設(shè)計(jì)軟件有兩個(gè)廠家提供,MentorCadence,SiP仿真軟件則有Mentor、AnSys、Cadence、ADS等多家。每家軟件都有各自的特點(diǎn)和優(yōu)勢,這里我們不準(zhǔn)備詳述各個(gè)軟件的功能比較,后面的的文章,我會專門對各家軟件進(jìn)行描述并對其功能進(jìn)行比較。

  1. 針對 設(shè)計(jì)軟件 ,主要需要考慮其功能時(shí)是否對鍵合線(Wire Bonding)、芯片堆疊(Die Stacks)、腔體(Cavity)、倒裝焊(Flip Chip)及重分布層(RDL)、埋入式無源元件(Embedded Passive)、參數(shù)射頻電路(RF)、多版圖項(xiàng)目管理、多人實(shí)時(shí)協(xié)同設(shè)計(jì)、3D實(shí)時(shí)DRC等最新的SiP技術(shù)能有較好的支持。
  2. 針對 仿真軟件 ,主要需要考慮能否方便地將設(shè)計(jì)數(shù)據(jù)導(dǎo)入,并能夠正確識別各設(shè)計(jì)元素;是否具備SI、PI、EMI、熱、電磁場等仿真功能,仿真精度、仿真速度能否滿足項(xiàng)目要求等。

另外,除了軟件本身的功能外,還需要重點(diǎn)了解各個(gè)廠家對技術(shù)支持的重視程度和技術(shù)支持人員的經(jīng)驗(yàn)水平等因素,因?yàn)楹芏嘤脩舫醮谓佑|SiP項(xiàng)目,軟件廠家技術(shù)人員的經(jīng)驗(yàn)水平對項(xiàng)目成功起到重要甚至決定性的作用。

1.3 生產(chǎn)制造

一款SiP設(shè)計(jì)完成后,必須選擇合理并且靠譜的生產(chǎn)廠家,才能夠保證項(xiàng)目的最終成功。

一般情況下,塑料封裝、陶瓷封裝、金屬封裝的生產(chǎn)工藝完全不同,其設(shè)計(jì)規(guī)則定義也會差別很大,所以要根據(jù)項(xiàng)目情況提前考慮選擇不同類型的生產(chǎn)廠家。

在項(xiàng)目設(shè)計(jì)的過程中就需要提前和相關(guān)廠家取得聯(lián)系,獲取廠家的工藝能力和生產(chǎn)制造要求,并以此為依據(jù)定義設(shè)計(jì)規(guī)則,這樣設(shè)計(jì)出的產(chǎn)品才能滿足生產(chǎn)制造的要求,即所謂的可制造性設(shè)計(jì)DFM(design for manufacture)。

廠家往往為了爭取更多項(xiàng)目,常常也會將其極限的生產(chǎn)能力報(bào)給用戶,這時(shí)候我們就需要合理的考核其常規(guī)生產(chǎn)能力和極限生產(chǎn)能力,盡量在其常規(guī)生產(chǎn)能力范圍內(nèi)進(jìn)行設(shè)計(jì),這樣就避免了成品率過低或者價(jià)格過高的問題。

另外,還需要了解廠家是否完全具備【基板+封裝+測試】的能力,還是只具備其中某一種能力,其它需要通過外協(xié)來完成,此時(shí)需要和廠家協(xié)商好如何保證產(chǎn)品質(zhì)量和進(jìn)度,避免由于生產(chǎn)環(huán)節(jié)周期過長而造成的項(xiàng)目延誤。

2 如何選擇SiP產(chǎn)品工藝和材料

對于一個(gè)新的SiP產(chǎn)品或者項(xiàng)目,設(shè)計(jì)師首先需要了解的就是采用什么樣的工藝和材料來實(shí)現(xiàn)SiP產(chǎn)品,不同的選擇會帶來哪些不同,成本、周期有多大的區(qū)別?

SiP系統(tǒng)級封裝產(chǎn)品按工藝或材料通常主要分為:塑料封裝SiP、陶瓷封裝SiP和金屬封裝SiP三種類型,參看圖1。

圖片

圖1 三種不同工藝材料的SiP封裝類型

每種類型的 SiP產(chǎn)品都有其特點(diǎn)和優(yōu)勢,需要設(shè)計(jì)師根據(jù)項(xiàng)目的用途、項(xiàng)目周期、項(xiàng)目經(jīng)費(fèi)情況進(jìn)行合理選擇。

2.1 塑料封裝SiP

塑料封裝SiP通常稱為塑封SiP,主要應(yīng)用于商業(yè)級產(chǎn)品,具有低成本優(yōu)勢,但在芯片散熱、穩(wěn)定性、氣密性方面相對較差。其特點(diǎn)主要總結(jié)如下:

  1. 密封性稍差,無法阻擋濕氣和腐蝕性氣體對芯片的腐蝕;
  2. 不容易拆解,模封灌膠后,幾乎無法打開,否則損壞芯片;
  3. 散熱性能較差,因?yàn)橛袡C(jī)基板和模封膠的傳熱系數(shù)低;
  4. 工作溫度范圍小,一般溫度范圍為0℃~+70℃,工業(yè)級的是-40℃~+85℃;
  5. 生產(chǎn)周期短,一般生產(chǎn)周期2~3個(gè)月;
  6. 價(jià)格便宜,成本低廉,一次打樣需要人民幣10萬元左右;
  7. 適合大批生產(chǎn),在商業(yè)領(lǐng)域得到廣泛的應(yīng)用。

塑料封裝SiP一般采用有機(jī)基板對芯片進(jìn)行互聯(lián)和承載,然后通過模封灌膠的方式對芯片進(jìn)行加固和密封,其結(jié)構(gòu)如圖2所示。

圖片

圖2 塑封SiP的結(jié)構(gòu)

2.2 陶瓷封裝SiP

陶瓷封裝SiP多用于工業(yè)級產(chǎn)品、軍品以及航空航天、軍工等領(lǐng)域,其散熱優(yōu)良,氣密性好、可靠性高。同時(shí),陶瓷具有可拆解的優(yōu)勢,便于故障查找和問題“歸零”。其特點(diǎn)主要總結(jié)如下:

  1. 密封性好,可以做到氣密性,阻擋濕氣和腐蝕性氣體;
  2. 散熱性能好,陶瓷基板外殼的熱傳導(dǎo)系數(shù)比較大,利于芯片散熱;
  3. 對極限溫度的抵抗性好,陶瓷封裝工作溫度可達(dá)到軍品要求-55℃~+150℃;
  4. 容易拆解,便于問題分析,陶瓷封裝體內(nèi)部芯片都處于真空裸露狀態(tài);
  5. 體積小,適合大規(guī)模復(fù)雜芯片,主要相對與金屬封裝而言;
  6. 生產(chǎn)周期長,一般生產(chǎn)周期6~8個(gè)月;
  7. 價(jià)格高,一次打樣需要人民幣40~100萬元左右;
  8. 適合軍品和航空航天應(yīng)用,目前在全球軍工和航空航天領(lǐng)域應(yīng)用普遍。

陶瓷封裝SiP一般采用HTCC陶瓷基板對芯片進(jìn)行互聯(lián)和承載,其外殼和基板通常為一體,結(jié)構(gòu)多采用腔體結(jié)構(gòu),用可伐合金焊接密封,其結(jié)構(gòu)如圖3所示。

圖片

圖3 陶瓷封裝SiP的結(jié)構(gòu)

2.3 金屬封裝SiP

金屬封裝SiP和陶瓷封裝SiP類似,多用于工業(yè)級產(chǎn)品、軍品以及航空航天、軍工等領(lǐng)域,其氣密性好、可靠性高,散熱優(yōu)良。金屬封裝也可拆解,便于故障查找和問題“歸零”。其特點(diǎn)主要總結(jié)如下:

  1. 金屬封裝密封性好,可以做到氣密性,阻擋濕氣和腐蝕性氣體;
  2. 散熱性能好,對極限溫度的抵抗性好;
  3. 容易拆解,開蓋后即可直接看到內(nèi)部裸芯片;
  4. 體積較大,扇出引腳較少,不太適合復(fù)雜芯片;
  5. 通常用在MCM領(lǐng)域,射頻微波,模擬SiP領(lǐng)域應(yīng)用較多;
  6. 生產(chǎn)周期較長,一般生產(chǎn)周期4~6個(gè)月;
  7. 價(jià)格較高,一次打樣需要人民幣30~80萬元左右;
  8. 適合軍品和航空航天應(yīng)用。

金屬封裝SiP一般采用LTCC、厚膜或者薄膜陶瓷基板對芯片進(jìn)行互聯(lián)和承載,其基板和外殼獨(dú)立進(jìn)行設(shè)計(jì)和加工,基板采用粘結(jié)法固定到金屬外殼上,電氣上采用Bond Wire和外部引腳連接,其結(jié)構(gòu)如圖4所示。

圖片

圖4 金屬封裝SiP的結(jié)構(gòu)

看了上面的描述,設(shè)計(jì)者結(jié)合項(xiàng)目的實(shí)際情況,就能確定選擇什么樣的工藝和材料來完成自己的SiP項(xiàng)目和產(chǎn)品了。

3 SiP基板選擇——有機(jī)基板

SiP基板系列文章

在SiP系統(tǒng)級封裝中,基板作為整個(gè)封裝的載體,起著支撐和電氣互聯(lián)的作用,目前,常用的基板包括有機(jī)基板、陶瓷基板、硅基板等等,我們將逐一介紹,這篇文章,我們主要來討論有機(jī)基板。

1

3.1 有機(jī)基板的材料

有機(jī)基板一般是由有機(jī)樹脂和玻璃纖維布為主要材料制作而成,導(dǎo)體通常為銅箔。有機(jī)樹脂通常包括:環(huán)氧樹脂(FR4),BT樹脂(雙馬來酰亞胺三嗪樹脂),PPE樹脂(聚苯醚樹脂),PI樹脂(聚酰亞胺樹脂)等。

有機(jī)基板常用的銅箔厚度為17μm(半盎司),35μm(一盎司),70μm(兩盎司)等多種。柔性有機(jī)基板銅箔厚度比較薄,5μm、9μm、12μm等規(guī)格的銅箔在柔性板上應(yīng)用較多。銅箔厚度和載流量成正比關(guān)系,如果需要通過比較大的電流,則需要選擇較厚的銅箔和較寬的布線。

以FR4為例,介質(zhì)材料根據(jù)樹脂和玻璃纖維含量的不同,可分為106,1080、2116、7628等多種型號。一般型號數(shù)值越大,樹脂含量越少,玻璃纖維含量增大,硬度增加,介電常數(shù)也越高。例如,106樹脂含量75%,1080樹脂含量63%,2116樹脂含量53%,7628樹脂含量44%。另外,還有一種RCC(Resin Coated Copper),樹脂含量100%。樹脂含量越多,材質(zhì)越軟,激光打孔效率高。

下圖為不同型號的介質(zhì)材料的樹脂含量、介電常數(shù)DK及損耗因子DF

圖片

通常為了兼顧各方面的性能,SiP基板會采用多種型號的基材,一般表層用樹脂含量較高的,例如RCC,106,1080,而內(nèi)層則采用硬度較大的例如2116,7628,用于增強(qiáng)支撐強(qiáng)度,詳見下面基板層疊結(jié)構(gòu)實(shí)例圖示。

2

3.2 有機(jī)基板的特點(diǎn)

  • 有機(jī)基板有其自身的特點(diǎn)和優(yōu)點(diǎn),和陶瓷基板相比,有機(jī)基板不需要燒結(jié),加工難度較底,并且可制作大型基板,同時(shí)具有成本優(yōu)勢,另外有機(jī)基板介電常數(shù)低,有利于高速信號的傳輸。

    當(dāng)然,有機(jī)基板也有自身的劣勢,例如傳熱性能較差,傳熱系數(shù)通常只有0.2-1W/(m·K)之間,而氧化鋁陶瓷材料可以達(dá)到18W/(m·K)左右,氮化鋁更是可達(dá)到200W/(m·K)左右。

  • 此外,有機(jī)基板的CTE也通常相對芯片比較大,這樣就容易在熱循環(huán)的時(shí)產(chǎn)生和IC的焊接處電氣連接失效。

  • CTE(Coefficient of Thermal Expansion)是指熱膨脹系數(shù)α ,理想的封裝基材:α < 8×10-6/oC,或者為8ppm/oC,(ppm-parts per million,百萬分之一)。

  • 半導(dǎo)體芯片的主要成分是硅,而硅的膨脹系數(shù)只有2.5ppm/oC,如果半導(dǎo)體芯片與基板的熱膨脹系數(shù)相差過大,在溫度變化時(shí),它們之間產(chǎn)生較大的應(yīng)力。因此,為了保證SiP或者封裝基板微細(xì)電路的精度,適宜用低熱膨脹系數(shù)的基板材料。

  • Tg玻化溫度,是板材在高溫受熱下的玻璃化溫度,一般Tg的板材為140度以上,高Tg一般大于170度,中等Tg約大于150度。Tg值越高,板材的耐溫度性能越好 ,印制板的耐熱性、耐潮濕性、耐化學(xué)性、耐穩(wěn)定性等特征都會提高和改善。尤其在無鉛制程中,高Tg應(yīng)用比較多。目前,高耐熱性基板的Tg通??梢赃_(dá)到200度以上。

3

3.3 有機(jī)基板層疊結(jié)構(gòu)

SiP或封裝基板通常采用盲埋孔結(jié)構(gòu),這里我們用m+N+m代表,其中m代表Buildup層,采用積層法工藝進(jìn)行制作,通常采用激光鉆孔,每次只鉆一層,層層往上累積成多層,因而被稱為積層法,積層法英文為:Buildup。

N代表Laminate層,采用層壓法工藝進(jìn)行制作,通常采用機(jī)械鉆孔,先將多層疊加在一起壓合,然后統(tǒng)一鉆孔,層壓法英文為:Laminate。

  • 下圖為2+4+2的8層基板側(cè)面示意圖:

圖片

Buildup層的盲埋孔是可以疊加的,但一般不能與Laminate層的通孔疊加,這主要是由于生產(chǎn)工藝的限制。這個(gè)兩類孔疊加容易出現(xiàn)斷路。

下面幾張圖是有機(jī)基板層疊結(jié)構(gòu)的實(shí)例(可放大查看每層所用的材料型號和銅箔的厚度)。

  • 下圖為6層基板,其層疊結(jié)構(gòu)為1+4+1

圖片

  • 下圖為8層基板,其層疊結(jié)構(gòu)為2+4+2

圖片

  • 下圖為8層基板,其層疊結(jié)構(gòu)為1+1+4+1+1

圖片

  • 下圖為10層基板,其層疊結(jié)構(gòu)為2+1+4+1+2

圖片

  • 下圖為8層基板,其層疊結(jié)構(gòu)為2+4+2,機(jī)械孔又包含更復(fù)雜的多次打孔工藝

圖片

  • 下圖為10層基板,其層疊結(jié)構(gòu)為3+4+3,機(jī)械孔層又包含更復(fù)雜的多次打孔工藝

圖片

3.4 有機(jī)基板的選用

有機(jī)基板主要應(yīng)用于塑封器件,由于其具有成本上的優(yōu)勢,目前是應(yīng)用最為廣泛的SiP封裝基板。

SiP或者封裝設(shè)計(jì)者在選用有機(jī)基板時(shí),要綜合考慮成本和可靠性進(jìn)行選擇,主要考慮其熱膨脹系數(shù),?;瘻囟?,吸濕性能等。從而選擇FR4(環(huán)氧樹脂),BT樹脂(雙馬來酰亞胺三嗪樹脂),PPE樹脂(聚苯醚樹脂)PI樹脂(聚酰亞胺樹脂 polyimide)等基板。

同時(shí),需要設(shè)置合理的銅箔厚度和層疊結(jié)構(gòu),選用不同型號的基材,控制好介電常數(shù)DK和損耗因子DF,從而使SiP或封裝的性能在成本優(yōu)化的前提下達(dá)到最優(yōu)。

4 陶封SiP為何多采用腔體結(jié)構(gòu)

SiP系統(tǒng)級封裝產(chǎn)品按工藝或材料通常分為:塑料封裝SiP、陶瓷封裝SiP和金屬封裝SiP幾種類型和各自的特點(diǎn)。

其中陶瓷封裝SiP也簡稱為陶封SiP,美國航空航天局NASA,歐洲太空局ESA采用的SiP基本上均為陶瓷封裝SiP。目前,國內(nèi)領(lǐng)先的航空航天和軍工領(lǐng)域的研究所都開始研究和應(yīng)用SiP技術(shù),他們也不約而同地選擇陶瓷封裝作為首選的SiP產(chǎn)品封裝。

陶封SiP密封性好,散熱性能好,對極限溫度的抵抗性好,容易拆解,便于問題分析,相對于金屬封裝體積小,適合大規(guī)模復(fù)雜芯片。有了這些優(yōu)點(diǎn),陶封SiP確實(shí)最適合在極限苛刻環(huán)境中應(yīng)用的航空航天等軍工領(lǐng)域。

在陶封SiP設(shè)計(jì)中,有一個(gè)最明顯的特征就是:陶封SiP中基本都采用了腔體結(jié)構(gòu)。

4.1 什么是腔體?

在《SiP系統(tǒng)級封裝設(shè)計(jì)與仿真》一書中,我這樣寫道:“腔體Cavity是在基板上開的一個(gè)孔槽,通常不會穿越所有的板層(在特殊情況下的通腔稱之為Contour)。腔體可以是開放式的,也可以是密閉在內(nèi)層空間的腔體,腔體可以是單級腔體也可以是多級腔體,所謂多級腔體就是在一個(gè)腔體的內(nèi)部再挖腔體,逐級縮小,如同城市中的下沉廣場一樣。”

下圖是城市中常見的下沉廣場,底部區(qū)域供人們活動,臺階可以當(dāng)看臺或者坐下休息。

城市中常見的下沉廣場

下圖是陶封SiP中常見的腔體結(jié)構(gòu),底部區(qū)域安裝芯片,多級腔體的臺階上可以放置鍵合指Bond Pad。兩者唯一的區(qū)別就是下沉廣場多為圓形,而陶封SiP中的腔體多為方形,當(dāng)然也不排除有些項(xiàng)目中采用了圓形腔體。

4.2 陶封SiP為何基本都會采用腔體?

搞明白了腔體的定義后,我們再來看看陶封SiP為何基本都會采用腔體?根據(jù)親自參與的多個(gè)陶封SiP實(shí)際項(xiàng)目,我總結(jié)了一下,大致有以下三種原因:

  • 腔體結(jié)構(gòu)有利于鍵合線的穩(wěn)定性

對于復(fù)雜的芯片,常常要采用多層鍵合線,鍵合指的排列經(jīng)常有3-4排,這樣外層鍵合線就會很長,跨度很大,不利于鍵合線的穩(wěn)定性,而腔體結(jié)構(gòu)則能有效改善這種問題。從下面兩張圖就可以明顯地看出腔體結(jié)構(gòu)大大減小了鍵合線的長度,從而有效地提高了鍵合線的穩(wěn)定性。

圖片

圖片

  • 腔體結(jié)構(gòu)有利于陶瓷封裝的密封

采用腔體結(jié)構(gòu)的陶瓷基板,芯片和鍵合線均位于腔體內(nèi)部,只需要用密封蓋板將SiP封裝密封即可。如果無腔體結(jié)構(gòu),則需要專門焊接金屬框架來抬高蓋板的位置,這樣就多了一道焊接工序,其焊縫的氣密性也需要經(jīng)過嚴(yán)格考核才能達(dá)到氣密性要求。

圖片

圖片

  • 腔體結(jié)構(gòu)有利雙面安裝元器件

現(xiàn)在的SiP復(fù)雜程度很高,需要安裝的器件很多,在基板單面經(jīng)常無法安裝上所有器件,需要雙面安裝器件。這時(shí)候,腔體結(jié)構(gòu)也就大有用武之地,通過腔體可以將一部分器件安裝在SiP封裝的底面,在封裝底面外側(cè)設(shè)計(jì)并植上焊接球,如下圖所示。

圖片

如果沒有腔體結(jié)構(gòu),就無法在背面安裝器件,如下圖所示:

圖片

如果將器件完全安裝在頂面,不可避免要擴(kuò)大封裝的面積,和SiP小型化的概念是背道而馳的。

最后,我們來看一款實(shí)際的陶瓷封裝SiP項(xiàng)目的Expedition設(shè)計(jì)截圖:此項(xiàng)目為國內(nèi)第一款采用雙面腔體的陶封SiP項(xiàng)目,完全在一顆SiP中實(shí)現(xiàn)了航天計(jì)算機(jī)的所有功能,并達(dá)到軍品級要求。該項(xiàng)目在世界上也處于領(lǐng)先地位,目前已經(jīng)成功應(yīng)用到多個(gè)航空、航天等重點(diǎn)工程中。

圖片

5 如何將元器件埋置在SiP基板內(nèi)

將元器件埋置在基板內(nèi)是一個(gè)非常有效地縮小SiP面積的方法,同時(shí)能有效減少基板表面的焊點(diǎn),提高其可靠性,那如何將器件埋入到SiP基板內(nèi)呢?

通俗來說有兩種方法:

  1. 挖個(gè)坑埋進(jìn)去;
  2. 壓扁了嵌進(jìn)去。

第一種方法用專業(yè)的術(shù)語來講就是:通過腔體技術(shù)將分立元器件埋入基板。 第二種方法用專業(yè)的術(shù)語來講就是:通過特殊材料制作電阻、電容等無源器件并嵌入到板層之間。

5.1 通過腔體的技術(shù)將分立元器件埋入基板

腔體主要是以開放式腔體為主,實(shí)際上還有一種腔體稱為埋置腔體,也稱為封閉式腔體,參看下圖,這樣分立器件就可以埋入基板內(nèi)部了。

圖片

具體在設(shè)計(jì)中怎么實(shí)現(xiàn)呢?大致分為三步:

  1. 在基板上繪制正常的開放式腔體;
  2. 將元器件放置到腔體中,器件自動落入腔體;
  3. 將腔體屬性更改為封閉式腔體。

圖片

設(shè)計(jì)方法看起來是不是很容易,這種技術(shù)適合所有的芯片類型,具體設(shè)計(jì)方法可參考《SiP系統(tǒng)級封裝設(shè)計(jì)與仿真》一書第12章。

圖片

5.2 通過特殊材料制作電阻、電容等無源器件并嵌入到板層之間

這種技術(shù)目前應(yīng)用也很廣泛,主要是通過阻性、容性材料制作電阻或者電容,然后在基板層壓的時(shí)候,嵌入到基板內(nèi)部層之間或者直接制作在基板表面,這種方法制作的阻容基本沒有高度,不會影響基板的厚度。

下圖是4種常見的電阻形狀,注意不同的阻值要選擇合適的阻性材料,才能做出大小合適的埋入式電阻。

圖片

下圖是3種常見的電容結(jié)構(gòu),同樣要選擇合適的材料,才能做出結(jié)構(gòu)和大小都合適的埋入式電容。

圖片

目前在國內(nèi),平面式埋置電阻應(yīng)用比較多,在SiP、MCM、厚膜、薄膜電路中應(yīng)用普遍,一般制作在基板的表面層,這樣方便后續(xù)的激光調(diào)整。平面式埋置電容應(yīng)用相對較少,主要是工藝比較復(fù)雜,例如印刷型電容需要至少3層材料,而夾層型更為復(fù)雜,除了多層材料外,還需要通過過孔將相鄰的層連接起來。

在設(shè)計(jì)工具方面,Mentor的工具對此功能支持的比較好,全部在菜單中即可完成電阻、電容的自動綜合功能。

另外,采用第二種方法,需要對材料特性有比較詳細(xì)的了解,下圖列出了部分阻容材料,不同的材料有不同的特性,只有選擇了合適的材料,才能做出最優(yōu)的埋置電阻或電容。具體設(shè)計(jì)方法可參考《SiP系統(tǒng)級封裝設(shè)計(jì)與仿真》一書第15章。

圖片

6 芯片堆疊技術(shù)在SiP中的應(yīng)用

芯片堆疊技術(shù)在SiP中應(yīng)用的非常普遍,通過芯片堆疊可以有效降低SiP基板的面積,縮小封裝體積。

目前來看,芯片堆疊的主要形式有四種:金字塔型堆疊,懸臂型堆疊,并排型堆疊,硅通孔TSV型堆疊。

為什么芯片可以進(jìn)行堆疊呢?這里面我們講的主要是未經(jīng)過封裝的裸芯片。曾經(jīng)有用戶問我,封裝好的芯片可不可以進(jìn)行堆疊呢?一般來說是不可以的,因?yàn)榉庋b好的芯片引腳在下表面直接焊接到基板上,而裸芯片的引腳一般在芯片上表面,通過鍵合的方式連接到基板。正是由于裸芯片引腳在上方,和基板的連接方式比較靈活,才有了芯片堆疊的可行性,參看下圖。

圖片

6.1 金字塔型堆疊

金字塔型堆疊是指裸芯片按照至下向上從大到小的方式進(jìn)行堆疊,形狀像金字塔一樣,故名金字塔型堆疊,這種堆疊對層數(shù)沒有明確的限制,需要注意的是堆疊的高度會受封裝體的厚度限制,以及要考慮到堆疊中芯片的散熱問題。金字塔型堆疊參看下圖。

圖片

6.2 懸臂型堆疊

懸臂型堆疊是指裸芯片大小相等,甚至上面的芯片更大的堆疊方式,通常需要在芯片之間插入介質(zhì),用于墊高上層芯片,便于下層的鍵合線出線。這種堆疊對層數(shù)也沒有明確的限制,同樣需要注意的是堆疊的高度會受封裝體的厚度限制,以及要考慮到堆疊中芯片的散熱問題。懸臂型堆疊參看下圖。

圖片

6.3 并排型堆疊

并排堆疊是指在一顆大的裸芯片上方堆疊多個(gè)小的裸芯片,因?yàn)樯戏叫〉穆阈酒瑑?nèi)側(cè)無法直接鍵合到SiP封裝基板,所以通常在大的裸芯片上方插入一塊硅轉(zhuǎn)接板,小的裸芯片并排堆疊在硅轉(zhuǎn)接板上,通過鍵合線連接到硅轉(zhuǎn)接板,硅轉(zhuǎn)接板上會進(jìn)行布線,打孔,將信號連接到硅轉(zhuǎn)接板邊沿,然后再通過鍵合線連接到SiP封裝基板。并排型堆疊參看下圖。

圖片

6.4 硅通孔TSV型堆疊

硅通孔TSV型堆疊一般是指將相同的芯片通過硅通孔TSV進(jìn)行電氣連接,這種技術(shù)對工藝要求較高,需要對芯片內(nèi)部的電路和結(jié)構(gòu)有充分的了解,因?yàn)楫吘挂谛酒洗蚩祝徊恍⌒木蜁p壞內(nèi)部電路。這種堆疊方式在存儲領(lǐng)域應(yīng)用比較廣泛,通過同類存儲芯片的堆疊提高存儲容量。目前也有將不同類芯片通過TSV連接,這類芯片需要專門設(shè)計(jì)才可以進(jìn)行堆疊。TSV型堆疊參看下圖。

圖片

上面介紹的是SiP設(shè)計(jì)中四種最基本的芯片堆疊方式。

在實(shí)際應(yīng)用的時(shí)候,這幾種堆疊方式可以組合起來形成更為復(fù)雜的堆疊。另外,還有通過將鍵合芯片和倒裝焊芯片進(jìn)行堆疊,通過柔性電路折疊的方式對芯片進(jìn)行堆疊,以及通過POP形式的堆疊等幾種,這些芯片堆疊方式在SiP設(shè)計(jì)中也比較常見。

7 SiP設(shè)計(jì)仿真流程

SiP設(shè)計(jì)與仿真流程的主要內(nèi)容如下圖所示:

  • 設(shè)計(jì)準(zhǔn)備

設(shè)計(jì)準(zhǔn)備的工作主要包括:① 各種資料的收集,裸芯片相關(guān)資料,管腳定義,物理尺寸,能否采購獲取等。②封裝類型的確定,是采用BGA封裝還是其他封裝形式;封裝尺寸的確定;封裝管腳間距、管腳數(shù)目的確定。③采用自定義管腳排列方式還是采用標(biāo)準(zhǔn)的封裝,或者和別人曾經(jīng)用過的封裝管腳兼容,以便于后期的組裝和測試。④封裝工藝和材料的選擇,根據(jù)其應(yīng)用的領(lǐng)域選擇塑封、陶瓷封裝或者金屬封裝。

  • 建庫及庫管理

建庫及庫管理主要包括原理圖符號庫、IC裸芯片庫、BGA封裝庫、Part庫以及仿真模型庫等。

  • 原理圖設(shè)計(jì)

原理圖設(shè)計(jì)包括原理圖輸入,射頻原理圖設(shè)計(jì)以及原理圖協(xié)同設(shè)計(jì)等。

  • 設(shè)計(jì)前仿真

設(shè)計(jì)前仿真可和原理圖設(shè)計(jì)同步進(jìn)行,通過“What if”分析,確定設(shè)計(jì)層疊結(jié)構(gòu)、關(guān)鍵信號的網(wǎng)絡(luò)拓?fù)浣Y(jié)構(gòu)、阻抗匹配,以及電源平面的分割、電容種類及型號選擇等。對模擬電路或者數(shù)?;旌想娐?,可進(jìn)行電路的功能仿真。

  • 工藝選擇

工藝選擇主要是為了確定SiP采用哪種工藝的封裝形式,如Wire Bonding、FlipChip、TAB、TSV等?;迳鲜欠褚谇惑w,采用單面腔體還是基板頂層/底層雙面腔體,以及腔體的深度等,同時(shí)也要考慮是否要做芯片堆疊Stacked Dies,基板的層數(shù)和需要采用的層疊結(jié)構(gòu)等通常在這一步也要定下來。

  • 進(jìn)入版圖設(shè)計(jì)環(huán)境

通過打包Package功能,以及前向標(biāo)注等手段將原理圖的連接關(guān)系、規(guī)則定義等傳輸?shù)桨鎴D環(huán)境,同時(shí)自動調(diào)用中心庫的相關(guān) Cell放到版圖設(shè)計(jì)環(huán)境中。

  • 層疊設(shè)置

根據(jù)工藝的選擇及設(shè)計(jì)的復(fù)雜程度進(jìn)行層疊結(jié)構(gòu)的設(shè)置,包括層數(shù)以及層疊結(jié)構(gòu)的選擇,是采用1+N+1、2+N+2、m+N+m或者ALIVH等層疊結(jié)構(gòu)。

  • 約束規(guī)則設(shè)置

主要包括網(wǎng)絡(luò)分類,結(jié)構(gòu)約束規(guī)則、間距約束規(guī)則、電氣約束規(guī)則,高速網(wǎng)絡(luò)約束、差分對約束等。

  • 器件布局

主要確定裸芯片的擺放位置。如果芯片需要放置到腔體里,則需要確定腔體的深度以及是單級還是多級腔體,腔體形狀的繪制等。

  • 引線鍵合、布線和敷銅

主要確定鍵合線的鍵合方式,是單層鍵合線還是多層鍵合線,鍵合線的模型選擇,電源環(huán)的設(shè)置;選擇交互式手工布線或自動布線,電源平面層分割,射頻電路設(shè)計(jì),埋阻埋容的自動綜合等。這一步工作量比較大。

  • 版圖設(shè)計(jì)檢查

通過檢查可發(fā)現(xiàn)版圖設(shè)計(jì)中的DRC錯(cuò)誤并進(jìn)行修正,確保設(shè)計(jì)功能的正確性。

  • 設(shè)計(jì)后仿真

設(shè)計(jì)后仿真可通過專用接口導(dǎo)出到仿真工具,進(jìn)行信號完整性、電源完整性及電磁兼容方面的仿真和分析。

  • 設(shè)計(jì)熱分析

可通過專用接口導(dǎo)入熱分析工具。通過熱分析,可解決SiP工作中由于芯片功耗過大而發(fā)生的過熱問題,確保產(chǎn)品的穩(wěn)定性和可靠性。

  • 后處理及生產(chǎn)文件

包括Gerber及鉆孔文件的生成,BOM、DXF、IDF、GDSII、ODB++等格式的輸出。

  • 電子結(jié)構(gòu)一體化設(shè)計(jì)

電子結(jié)構(gòu)一體化主要包括電子和結(jié)構(gòu)的協(xié)同。因?yàn)?a target="_blank">EDA工具主要完成的是SiP內(nèi)部的東西,包括基板和芯片組裝、鍵合等。而SiP的外殼等數(shù)據(jù)通常需要通過結(jié)構(gòu)設(shè)計(jì)軟件來確定,如陶瓷封裝的金屬框架、蓋板、塑封的模封,金屬封裝的外殼等。

  • 設(shè)計(jì)結(jié)束

所有上面的流程走完之后,SiP設(shè)計(jì)結(jié)束,即可進(jìn)入生產(chǎn)階段。

目前,在國內(nèi),越來越多的電子設(shè)計(jì)工程師開始關(guān)注和學(xué)習(xí)SiP的技術(shù),但由于目前關(guān)于SiP設(shè)計(jì)和仿真方面的綜合書籍很缺乏,設(shè)計(jì)者往往無從下手,這在一定程度上也阻礙了SiP技術(shù)在國內(nèi)的快速發(fā)展。Mentor Xpedition是一款專業(yè)的SiP設(shè)計(jì)工具,包括原理圖設(shè)計(jì)、版圖布線設(shè)計(jì)、電學(xué)分析及熱分析等模塊,可以實(shí)現(xiàn)芯片堆疊、基板堆疊、復(fù)雜腔體結(jié)設(shè)計(jì),是一款真正意義上的3D設(shè)計(jì)工具。

8 SiP 生產(chǎn)流程

SiP的封裝形式多樣,按照材料和工藝通常分為塑料封裝、陶瓷封裝和金屬封裝三大類,每類都會有多種類型的封裝形式,例如,DIP、SOP、PLCC、QFP、QFN、BGA 等。

圖片

當(dāng)前,隨著引腳數(shù)量和密度的增加,BGA成為SiP最常采用的封裝形式。

下面,我們就以BGA塑料封裝的SiP生產(chǎn)流程作為介紹,基本上就可以代表SiP封裝的基本生產(chǎn)流程,其它封裝形式流程大同小異。當(dāng)然,對于陶瓷封裝和金屬封裝,其工藝流程還是有所區(qū)別,我們可以后續(xù)再討論。

按照裸芯片和基板的連接方式,我們將BGA分為Wire Bonding BGA和FlipChip BGA。

下圖是Wire Bonding BGA(簡稱WB-BGA)的生產(chǎn)制造流程示意圖。

圖片

Wire Bonding -BGA的流程包括:晶圓減薄→晶圓切割→芯片粘結(jié)→引線鍵合→模塑封裝→BGA基板植球→基板回流焊→表面打標(biāo)→切割分離→最終檢查→測試包裝。

Wire Bonding -BGA封裝前,晶圓首先會做減薄處理,這樣也更便于晶圓切割,同時(shí)也會減小封裝后的厚度。芯片粘結(jié)是采用環(huán)氧粘結(jié)劑將IC芯片粘結(jié)在基板上。引線鍵合是采用純金絲鍵合線將芯片管腳與基板上的Pad進(jìn)行連接,接著采用模塑包封或液態(tài)膠灌封,以保護(hù)芯片、焊接線和焊盤。BGA基板植球是使用植球機(jī)或者篩網(wǎng)將焊料球放置在焊盤上,然后在回流焊爐內(nèi)進(jìn)行回流焊接,然后使用清洗劑對基板進(jìn)行清洗,以去除殘留在封裝體上的焊料和纖維顆粒。然后是表面打標(biāo)、切割分離,最終檢查、測試和包裝入庫。

了解完Wire Bonding BGA的生產(chǎn)流程,我們再來看看FilpChip-BGA。

下圖是FilpChip-BGA(簡稱FC-BGA)的生產(chǎn)制造流程示意圖。

圖片

FilpChip-BGA的流程包括:晶圓減薄→晶圓凸點(diǎn)生成→晶圓切割→芯片倒裝→回流焊接→裸芯片下部填膠→表面打標(biāo)→BGA基板植球→基板回流焊→切割分離→最終檢查→測試包裝。

FilpChip-BGA封裝前,晶圓同樣會做減薄處理,然后在晶圓上制作凸點(diǎn)Bump。之后進(jìn)行晶圓切割,再將芯片倒裝焊接到基板上。焊接好后進(jìn)行清洗,芯片底部填膠等操作,以固定芯片并克服由于芯片和基板CET不一致而導(dǎo)致的應(yīng)力。BGA基板植球、回流焊接、清洗、打標(biāo)、切割、測試、包裝等流程與Wire Bonding-BGA基本一致。

通過兩種工藝的對比,可以看出,兩者的基本的流程是一致的,主要區(qū)別在于FlipChip倒裝焊在切割晶圓片之前要生成芯片的凸點(diǎn),然后進(jìn)行芯片倒裝、回流焊接等一系列流程。

FlipChip整個(gè)工藝流程需要兩次回流焊,包括芯片回流焊和BGA基板回流焊。而Wire Bonding則需要芯片粘結(jié)、鍵合、模封等過程,整個(gè)工藝流程只需要一次回流焊。

對于SiP系統(tǒng)級封裝,由于是多個(gè)芯片,就有可能會遇到混合工藝的情況,即在一顆SiP封裝中既有WB芯片,也有FC芯片。目前,在實(shí)際應(yīng)用中這種情況已經(jīng)比較常見,在設(shè)計(jì)中經(jīng)常會遇到,如所選的裸芯片中有支持WB工藝的芯片,也有支持FC工藝的芯片,就需要做混合工藝SiP的生產(chǎn)制造。

下圖為混合工藝SiP 生產(chǎn)制造流程圖。

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417214
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26331

    瀏覽量

    210074
  • 元器件
    +關(guān)注

    關(guān)注

    112

    文章

    4645

    瀏覽量

    91019
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4743

    瀏覽量

    127285
收藏 人收藏

    評論

    相關(guān)推薦

    EMC三要素中的耦合路徑

    當(dāng)干擾源---耦合路徑---敏感器三要素同時(shí)存在,才會出現(xiàn)EMC問題,缺少三要素中的任何一個(gè),EMC問題都不會存在。
    發(fā)表于 03-13 14:50 ?2158次閱讀
    EMC<b class='flag-5'>三要素</b>中的耦合路徑

    電磁兼容三要素規(guī)律

    EMC是業(yè)界的一個(gè)難點(diǎn);來談?wù)凟MC個(gè)規(guī)律、EMC問題三要素、電磁騷擾的特性、以及五層次EMC設(shè)計(jì)法。
    發(fā)表于 05-08 14:56 ?553次閱讀
    電磁兼容<b class='flag-5'>三要素</b>和<b class='flag-5'>三</b>規(guī)律

    EMC的規(guī)律和三要素

    成正比增大。減少輻射騷擾或提高射頻輻射抗干擾能力的最重要途徑之二,就是想方設(shè)法減小騷擾源高頻電流頻率f,即減小騷擾電磁波的頻率f。二、EMC問題三要素開關(guān)電源及數(shù)字設(shè)備由于脈沖電流和電壓具有很豐富的高頻諧波
    發(fā)表于 03-17 08:44

    嵌入式系統(tǒng)的三要素

      嵌入式系統(tǒng)三要素  嵌入式系統(tǒng)的個(gè)基本要素是嵌入性、專用性與計(jì)算機(jī)系統(tǒng)?! 。?)嵌入性是把軟件嵌入到Flash存儲器中, ?。?)專用性是指針對某個(gè)具體應(yīng)用領(lǐng)域和場合,量體裁衣式的定制適用
    發(fā)表于 06-28 08:48

    單片機(jī)工作的最小系統(tǒng)三要素

    單片機(jī)工作的最小系統(tǒng)是指使單片機(jī)可以工作的最少原件組成的系統(tǒng)。主要包括三要素:電源,晶振,復(fù)位電路
    發(fā)表于 01-22 07:35

    交流電的三要素分別是什么

    交流電的三要素分別是什么?極管實(shí)現(xiàn)放大作用的外部條件是什么?
    發(fā)表于 09-27 06:17

    請問通信協(xié)議的三要素是什么?

    通信協(xié)議的三要素是什么?
    發(fā)表于 10-27 07:32

    單片機(jī)工作基礎(chǔ)三要素

    單片機(jī)。內(nèi)存ROM與RAM,存程序的地方。管腳有電源,復(fù)位,晶振和 IO 口這 4 類管腳。前者是單片機(jī)工作基礎(chǔ)三要素。IO 口能識別按健的輸入,也能輸出驅(qū)動繼電器工作的開關(guān)信號,也能跟外圍器件進(jìn)行通信。電平高(1),低(0)是一個(gè)范圍,不是只是0V和3.3V才是高低電
    發(fā)表于 01-20 06:53

    切削用量三要素

    切削用量三要素:進(jìn)給速度,切削深度,金屬切除率:單位時(shí)間內(nèi)切除單位體積的多少.
    發(fā)表于 03-18 11:01 ?6422次閱讀
    切削用量<b class='flag-5'>三要素</b>

    力的三要素是什么?什么是力?

    力的三要素是什么?力的大小、方向和作用點(diǎn)合稱為“力的三要素”。常用有向線段來表示力。線段的長度跟力的大小成正比,箭頭表示力的方向,線段的起點(diǎn)表
    發(fā)表于 03-18 11:13 ?2w次閱讀

    凹槽型光耦選型三要素

    本文主要介紹了凹槽型光耦選型三要素。凹槽型光耦(光電開關(guān))也稱作直射式光電傳感器或?qū)ι涫焦怆婇_光,其工作原理是通過對紅外發(fā)射光的阻斷和導(dǎo)通,在紅外接收管感應(yīng)出的電流變化來實(shí)現(xiàn)開和關(guān)的判斷。凹槽型光耦選型三要素:1.槽寬、2.光縫寬度、3.固定方式。
    發(fā)表于 04-09 13:13 ?9218次閱讀
    凹槽型光耦選型<b class='flag-5'>三要素</b>

    正弦交流電三要素

    本文首先介紹了什么是正弦交流電,其次介紹了正弦交流電三要素,最后闡述了正弦交流電的特點(diǎn)。
    的頭像 發(fā)表于 08-25 09:54 ?10.9w次閱讀

    數(shù)據(jù)模型的三要素

    本視頻主要詳細(xì)介紹了數(shù)據(jù)模型的三要素,分別是數(shù)據(jù)結(jié)構(gòu)、數(shù)據(jù)操作和數(shù)據(jù)約束。
    的頭像 發(fā)表于 02-28 15:57 ?2.2w次閱讀

    影響視頻格式三要素

    如果我們把一段視頻看做一個(gè)裝著貨物的“盒子”,那像MP4和FLV這些視頻格式,就是封裝貨物的盒子,盒子內(nèi)部打包著不同的“貨物”,如視頻原圖、聲音、字幕等資源。而視頻原圖的分辨率、幀率和碼率這種因素,則是影響視頻質(zhì)量的三要素。
    的頭像 發(fā)表于 05-10 11:24 ?1533次閱讀

    一階電路的三要素法 一階電路等效電阻怎么求?

    一階電路的三要素法 一階電路等效電阻怎么求? 一階電路的三要素法是電路分析的基礎(chǔ),是電路理論中的重要部分。在此,我們將詳細(xì)介紹一階電路的三要素法,并探討一階電路等效電阻的計(jì)算方法。 一、一階電路
    的頭像 發(fā)表于 10-22 11:50 ?4141次閱讀