0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

UVM Transaction-Level Modeling (TLM)概述

芯片驗(yàn)證工程師 ? 來源:芯片驗(yàn)證工程師 ? 2023-05-22 09:58 ? 次閱讀

驗(yàn)證生產(chǎn)力的關(guān)鍵之一是在一個(gè)合適的抽象級(jí)別上考慮驗(yàn)證問題。也就是說,在驗(yàn)證DUT時(shí)應(yīng)該創(chuàng)建一個(gè)支持適當(dāng)抽象級(jí)別的驗(yàn)證環(huán)境。雖然DUT實(shí)際接口都是信號(hào)級(jí)的,但有必要在transaction level管理絕大多數(shù)的驗(yàn)證任務(wù),如激勵(lì)生成、功能比對(duì)和覆蓋率收集等。

UVM提供了一組transaction level通信接口,你可以使用它們來連接transaction level的組件。TLM接口的使用將每個(gè)驗(yàn)證組件與驗(yàn)證環(huán)境中其他組件隔離開。TLM+factory機(jī)制通過支持組件替換來促進(jìn)重用,因?yàn)樘鎿Q前和替換后的驗(yàn)證組件具有相同的接口。

TLM還允許UVM驗(yàn)證環(huán)境與驗(yàn)證開發(fā)的transaction-levelmodel進(jìn)行組合(而不是DUT本身)。

例如可以用驗(yàn)證開發(fā)的model1(functional model)去驗(yàn)證model2(cycle-accuratemodel),也可以同時(shí)使用model1+model2驗(yàn)證DUT。model1和model2分別是在不同階段以不同精細(xì)度對(duì)RTL的建模。在前期可以通過驗(yàn)證環(huán)境+model1+model2,保證驗(yàn)證環(huán)境的穩(wěn)定,等到RTL ready后使用model1和model2驗(yàn)證RTL,快速實(shí)現(xiàn)驗(yàn)證收斂。當(dāng)然,這其中同樣存在著transaction-level和pin-level轉(zhuǎn)換的事情要去做。

組件之間定義清晰的TLM接口語(yǔ)義也為實(shí)現(xiàn)mixed-language驗(yàn)證環(huán)境提供了支持。此外,可以理解任何帶有TLM接口的驗(yàn)證組件(verification components)都可以非常方便地集成到已有的驗(yàn)證環(huán)境。

?





審核編輯:理清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59520
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19088
  • TLM
    TLM
    +關(guān)注

    關(guān)注

    1

    文章

    32

    瀏覽量

    24711
  • DUT
    DUT
    +關(guān)注

    關(guān)注

    0

    文章

    188

    瀏覽量

    12193

原文標(biāo)題:UVM Transaction-Level Modeling (TLM)概述

文章出處:【微信號(hào):芯片驗(yàn)證工程師,微信公眾號(hào):芯片驗(yàn)證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    數(shù)字IC驗(yàn)證之“搭建一個(gè)可以運(yùn)行的uvm測(cè)試平臺(tái)”(5)連載中...

    transaction,以及創(chuàng)建用于產(chǎn)生事物的事物發(fā)生器sequence?! ≡?b class='flag-5'>uvm驗(yàn)證平臺(tái)中穿梭各個(gè)組件之間的基本信息單元是一個(gè)被稱為transaction的數(shù)據(jù)對(duì)象,也就是我們前面所說的事物
    發(fā)表于 01-26 10:05

    談?wù)?b class='flag-5'>UVM中的uvm_info打印

      // UVM_NONE - Report is always printed. Verbosity level setting can not disable it.  // UVM_LOW - Report
    發(fā)表于 03-17 16:41

    Modeling and Implementation of

    opened exciting new design flow possibilities.  System level support for bittrue modeling enables a designer to use a single envi
    發(fā)表于 07-01 18:21 ?6次下載

    Modeling and Implementation of

    have opened exciting new design flow possibilities.  System level support for bittrue modeling enables a designer to use a
    發(fā)表于 07-09 13:49 ?10次下載

    TLM通信中常用的術(shù)語(yǔ)

    TLMtransaction Level Modeling(事務(wù)級(jí)建模)的縮寫。簡(jiǎn)單來說,一個(gè)transaction就是把具有某一特定功能
    的頭像 發(fā)表于 09-19 10:24 ?3815次閱讀

    UVM sequence機(jī)制中response的簡(jiǎn)單使用

    sequence作為UVM幾個(gè)核心機(jī)制之一,它有效地將transaction的產(chǎn)生從driver中剝離出來,并且通過和sequencer相互配合,成功地將driver的負(fù)擔(dān)降低至僅聚焦于根據(jù)協(xié)議將
    的頭像 發(fā)表于 09-22 09:26 ?2169次閱讀

    為什么不是uvm_transaction構(gòu)建UVM事務(wù)呢?

    UVM 中的事務(wù)是一個(gè)具有信號(hào)屬性(例如地址和數(shù)據(jù))以及錯(cuò)誤、延遲等額外信息的類??傊?,這個(gè)所謂事務(wù)封裝了所有和DUT激勵(lì)項(xiàng)相關(guān)的信息.
    的頭像 發(fā)表于 03-08 13:41 ?578次閱讀

    典型的UVM Testbench架構(gòu)

    UVM類庫(kù)提供了通用的代碼功能,如component hierarchy、transaction level model(TLM),configuration database等等,使
    的頭像 發(fā)表于 05-22 10:14 ?1861次閱讀
    典型的<b class='flag-5'>UVM</b> Testbench架構(gòu)

    UVM Transaction-Level Modeling (TLM)介紹

    驗(yàn)證生產(chǎn)力的關(guān)鍵之一是 **在一個(gè)合適的抽象級(jí)別上考慮驗(yàn)證問題** 。也就是說,在驗(yàn)證DUT時(shí)應(yīng)該創(chuàng)建一個(gè)支持適當(dāng)抽象級(jí)別的驗(yàn)證環(huán)境。雖然DUT實(shí)際接口都是信號(hào)級(jí)的,但有必要在transaction level管理絕大多數(shù)的驗(yàn)證任務(wù),如激勵(lì)生成、功能比對(duì)和覆蓋率收集等。
    的頭像 發(fā)表于 05-22 16:19 ?736次閱讀

    UVM TLM的基本概念介紹

    UVM中,transaction 是一個(gè)類對(duì)象,它包含了建模兩個(gè)驗(yàn)證組件之間的通信所需的任何信息。
    的頭像 發(fā)表于 05-24 09:17 ?1542次閱讀
    <b class='flag-5'>UVM</b> <b class='flag-5'>TLM</b>的基本概念介紹

    UVM Transaction-Level驗(yàn)證組件

    如下圖所示,UVM中的TLM接口為組件之間Transaction的發(fā)送和接收提供了一套統(tǒng)一的通信方法。
    的頭像 發(fā)表于 05-29 09:31 ?538次閱讀
    <b class='flag-5'>UVM</b> <b class='flag-5'>Transaction-Level</b>驗(yàn)證組件

    層次化的UVM TLM連接

    TLM接口的使用將驗(yàn)證環(huán)境中的每個(gè)組件與其他組件隔離。驗(yàn)證環(huán)境實(shí)例化一個(gè)組件,并完成其ports/exports的連接,不需要進(jìn)一步了解驗(yàn)證組件具體的實(shí)現(xiàn)。
    的頭像 發(fā)表于 05-29 14:51 ?572次閱讀
    層次化的<b class='flag-5'>UVM</b> <b class='flag-5'>TLM</b>連接

    創(chuàng)建UVM Driver

    Driver的作用是從sequencer中獲得數(shù)據(jù)項(xiàng),按照接口協(xié)議將數(shù)據(jù)項(xiàng)驅(qū)動(dòng)到總線上。UVM類庫(kù)提供了uvm_driver基類,所有的Driver類都應(yīng)該直接或間接地從該類中擴(kuò)展出來。Driver有一個(gè)TLM port,通過它
    的頭像 發(fā)表于 05-30 16:17 ?697次閱讀
    創(chuàng)建<b class='flag-5'>UVM</b> Driver

    UVM中的uvm_do宏簡(jiǎn)析

    uvm_do宏及其變體提供了創(chuàng)建、隨機(jī)化和發(fā)送transaction items或者sequence的方法。
    的頭像 發(fā)表于 06-09 09:36 ?4475次閱讀
    <b class='flag-5'>UVM</b>中的<b class='flag-5'>uvm</b>_do宏簡(jiǎn)析

    數(shù)字IC驗(yàn)證之基本的TLM通信

    提高驗(yàn)證生產(chǎn)力的關(guān)鍵之一就是在合適的**抽象層次**思考問題和完成驗(yàn)證工作,為此UVM提供了 **事務(wù)級(jí)別(transaction level)** 的通信接口 **(Transaction-
    發(fā)表于 06-25 11:42 ?454次閱讀
    數(shù)字IC驗(yàn)證之基本的<b class='flag-5'>TLM</b>通信