0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

數(shù)字信號處理的基礎(chǔ)知識

CHANBAEK ? 來源:FPGA and ICer ? 作者:Vuko ? 2023-05-22 16:11 ? 次閱讀

寫在前面

本文是本系列的第一篇,參考杜勇老師的數(shù)字濾波器MATLABVerilog實現(xiàn)以及一些網(wǎng)文博客,更新順序參考杜勇老師的書籍目錄。 本文主要介紹關(guān)于數(shù)字信號的一些基礎(chǔ)知識。

固定點數(shù)

數(shù)字既包括整數(shù),又包括小數(shù),而小數(shù)的精度范圍要比整數(shù)大得多,所以如果我們想在計算機中,既能表示整數(shù),也能表示小數(shù),關(guān)鍵就在于這個小數(shù)點如何表示。 于是人們想出一種方法,即 約定計算機中小數(shù)點的位置 ,且這個位置固定不變,小數(shù)點前、后的數(shù)字,分別用二進制表示,然后組合起來就可以把這個數(shù)字在計算機中存儲起來,這種表示方式叫做「定點」表示法,用這種方法表示的數(shù)字叫做「定點數(shù)」。 也就是說「定」是指固定的意思,「點」是指小數(shù)點,小數(shù)點位置固定即定點數(shù)名字的由來。

在計算機中,通常將數(shù)據(jù)的小數(shù)點固定在數(shù)據(jù)的最高位之前或者最低位之后。 前者稱為定點小數(shù),后者稱為定點整數(shù)。 定點小數(shù) 是純小數(shù),約定的小數(shù)點位置在符號位之后、有效數(shù)值部分最高位之前。 若數(shù)據(jù) x 的形式為 x = x0.x1x2… xn ( 其中x0為符號位,x1~xn是數(shù)值的有效部分,也稱為尾數(shù), x1為最高有效位 ),則在計算機中的表示形式為:

圖片

在數(shù)字處理中,定點數(shù)通常把數(shù)限制-1~ 1之間,把小數(shù)點規(guī)定在符號位和數(shù)據(jù)位之間,而把整數(shù)位作為符號位,用0 、1表示正負,數(shù)本身只有小數(shù)部分,即尾數(shù)。 這是由于經(jīng)過定點數(shù)的乘法后,所得的結(jié)果小數(shù)點位置不確定,除非兩個乘數(shù)都是小數(shù)或者整數(shù)。 對于加法來說,小數(shù)點的位置是固定的,如上圖x0位符號位,x1~xn為數(shù)據(jù)位。 在整個運算過程中,要求所有的運算結(jié)果的絕對值都不能超過1,否則會出現(xiàn)溢出。 在實際問題中,處理運算的中間過程會可能會出現(xiàn)結(jié)果超過1的情況,為了使得運算正確,通常會在運算時乘一個比例因子(類似歸一化)避免發(fā)生溢出現(xiàn)象。

定點數(shù)的三種表示方法

定點帶符號數(shù)在計算機內(nèi)的四種表示方法是: 原碼,補碼,反碼。 在FPGA處理中,比較都常用。

原碼表示

最高位為符號位,0表示正數(shù),1表示負數(shù),其余位是數(shù)值位。 原碼的優(yōu)點是簡單直觀,特點是符號位與數(shù)值位在運算時要區(qū)別對待。 0的原碼表示有兩種形式。

反碼表示

正數(shù)的反碼表示與原碼表示一樣; 負數(shù)的反碼表示為該負數(shù)對應(yīng)的原碼符號位不變,數(shù)值位按位取反。 因此,在反碼表示中,最高位還是符號位,0表示正,1表示負,與原碼相同。 0的反碼表示也有兩種形式。

補碼表示

正數(shù)的補碼表示與原碼表示相同; 負數(shù)的補碼表示是原碼表示的符號位不變數(shù)值位取反,并在最低位加1。 補碼中0的表示是唯一的。

浮點數(shù)

浮點數(shù)是一種公式化的表達方式,用來近似表示實數(shù),并且可以在表達范圍和表示精度之間進行權(quán)衡(因此被稱為浮點數(shù))。 在計算機中可以近似表達任意實數(shù)。 浮點數(shù)通常被表示為:A=M×B^E,B被稱為階碼的基數(shù),精度為N(使用多少位來進行存儲),E在浮點數(shù)中表示為基的指數(shù)。 M被稱為浮點數(shù)的尾數(shù)。

浮點顯示方法

要表示浮點數(shù),一是要給出尾數(shù)M的值,通常用定點小數(shù)形式表示,它決定了浮點數(shù)的表示精度,即可以給出的有效數(shù)字的位數(shù)。 二是要給出階碼,通常用定點整數(shù)形式表示,它指出的是小數(shù)點在數(shù)據(jù)中的位置,決定了浮點數(shù)的表示范圍。 因此,在計算機中,浮點數(shù)通常被表示成如下格式:(假定為32位浮點數(shù),基為2,其中最高位為符號位)

圖片.png

一種FPGA處理浮點數(shù)格式

雖然浮點數(shù)的表示范圍更大,但實現(xiàn)時消耗的資源更多,實現(xiàn)的步驟也更加繁瑣。 如浮點數(shù)的加法需要以下步驟:

  1. 對階操作:比較指數(shù)的大小,對指數(shù)小的操作數(shù)進行移位,完成尾數(shù)的對階操作。
  2. 尾數(shù)相加:對階后的尾數(shù)進行加減操作。
  3. 規(guī)格化:規(guī)格化有效位并根據(jù)移位方向和位數(shù)修改最終的階數(shù)。

浮點數(shù)乘法操作,一般需要以下操作:

  1. 指數(shù)相加:完成兩個操作數(shù)的指數(shù)相加運算。
  2. 尾數(shù)調(diào)整:將尾數(shù)M調(diào)整為1.M的補碼格式。
  3. 尾數(shù)相乘:完成講個操作數(shù)的尾數(shù)相乘運算。
  4. 規(guī)格化:根據(jù)尾數(shù)運算結(jié)果調(diào)整指數(shù)位,并對尾數(shù)進行舍入截位操作,規(guī)格化輸出結(jié)果。

浮點數(shù)乘法器的運算速度主要由FPGA內(nèi)部集成的硬件乘法器決定。 大部分FPGA芯片內(nèi)部的乘法器為18bitX18bit。 這里以7系列的xilinx為例。 DSP48內(nèi)部的乘法器為25X18的,如下圖:

圖片.png

如果進行24位的乘法運算,則需要使用4個18bitX18bit乘法器,兩個18位的數(shù)乘法操作只占用一個18bitX18bit乘法器。 由于FPGA的寄存器資源的設(shè)計,可以直接將尾數(shù)表示為補碼的格式。 可以去除尾數(shù)調(diào)整的運算,減少一級流水操作。

杜勇老師在他的《多輸入浮點加法器算法研究》中提出了一種新的浮點數(shù)結(jié)格式,也即一個26位寬的數(shù),25--18位表示為8位有符號數(shù),17--0表示為18位有符號的小數(shù)。 浮點數(shù)的表示式為M = f X 2^e;

圖片.png

規(guī)定,數(shù)值1的表示方法為指數(shù)為0,尾數(shù)為01_1111_1111_1111_1111;數(shù)值0表示為指數(shù)為-128,尾數(shù)為0。 這種自定義浮點數(shù)格式,相比24位的普通浮點數(shù)運算雖然精度有所下降但是可以大大節(jié)省乘法器的資源由是個乘法器變?yōu)?個,并有效地減少了運算步驟,提高了運算速率(由二級18X18乘法運算減少到一級運算)。

自定義浮點數(shù)和實數(shù)之間的關(guān)系:

圖片.png

FPGA中的運算

加減法運算

小數(shù)加減法運算

在Verilog中比較常用的數(shù)據(jù)類型是wire和reg以及他們的向量形式,在Verilog中,默認將所有的二進制數(shù)當做小數(shù)處理,也就是說小數(shù)點均在最低位的右邊。 帶小數(shù)的運算,設(shè)計者可以通過隱形規(guī)定進行,如,假設(shè)規(guī)定一個小數(shù)運算的小數(shù)點在最高位和次高位之間,然后進行小數(shù)的加減法運算。 和十進制的運算規(guī)則相同,在做加減法運算時,參與運算的兩個數(shù)的小數(shù)點必須對齊,并且結(jié)果的小數(shù)點位置相同。

還有一種比較常用的處理辦法是,將小數(shù)轉(zhuǎn)換為整數(shù)進行運算,處理過程為同時把要運算的數(shù)進行乘一個很大的數(shù)如1024,即乘一個很大的整數(shù)處理掉小數(shù)部分,轉(zhuǎn)化為整數(shù),并約定該整數(shù)為之前的小數(shù)。 但是這樣處理的弊端也比較明顯,相比于直接進行隱形規(guī)定小數(shù)運算,會消耗更多的資源。

負數(shù)加減法

Verilog默認狀態(tài)都表示的是無符號數(shù),如果要指定某個數(shù)為有符號數(shù),要在聲明前加入關(guān)鍵字signed,如:wire signed [2:0] data; 這里表示data為3bit的有符號數(shù),在運算時自動采用有符號運算。 下面引用杜勇老師書上的一個示例,并做略微改動。

有無符號數(shù)對比示例:

源文件:

`timescale 1ns / 1ps
module adder_test(
    data1,
    data2,
	sum_signed_out,
    sum_unsigned_out,
	compare_signed,
	compare_unsigned);

	input		[3:0]data1;              //輸入加數(shù)1
	input		[3:0]data2;              //輸入加數(shù)2
	output 	[3:0]	sum_unsigned_out;   //無符號加法輸出
	outputsigned [3:0] sum_signed_out; //有符號加法輸出

	output 	[3:0]	compare_signed;   //有符號數(shù)比較輸出
	output 	[3:0]	compare_unsigned;   //無符號數(shù)比較輸出
	//無符號加法運算
	assign sum_unsigned_out = data1 + data2;
	
	//有符號加法運算
	wiresigned [3:0] s_data1;
	wiresigned [3:0] s_data2;
	assign s_data1 = data1;
	assign s_data2 = data2;
	assign sum_signed_out = s_data1 + s_data2;

	//比較操作
    wiresigned [3:0] cons_1 = 4'b1001;
	assign compare_signed = (sum_signed_out < cons_1)? 1 : 0;
	assign compare_unsigned = (sum_unsigned_out < cons_1)? 1 : 0;

endmodule

測試文件:

`timescale 1ns / 1ps
module tb_adder();
    //輸入
    reg  [3:0] data1;
    reg  [3:0] data2;
    //輸出
    wire [3:0] sum_unsigned_out;    
    wire [3:0] sum_signed_out  ;
    wire compare_signed  ;
    wire compare_unsigned;
    //例化
    adder_test u_adder_test(
		.data1            (data1            ),
		.data2            (data2            ),
		.sum_unsigned_out (sum_unsigned_out ),
		.sum_signed_out   (sum_signed_out   ),
		.compare_signed   (compare_signed   ),
		.compare_unsigned (compare_unsigned )
	);
    //測試
    initialbegin
        data1 = 0;
        data2 = 0;
        repeat(16)begin
            data1 = data1 + 1;
            data2 = data2 + 1;
            #20;
        end
    end
endmodule

綜合的RTL圖:

圖片

此時的仿真結(jié)果為下圖:

通過對比可以知道,在進行運算時,有無符號數(shù)的運算結(jié)果在二進制中查看是相同的,但是表達的數(shù)值大小有區(qū)別,除此之外,有無符號數(shù)的區(qū)別也體現(xiàn)在比較運算上。

圖片

從下圖中,可以看出,對于有無符號數(shù)來說,4‘b1001有符號數(shù)對應(yīng)的是-7,無符號數(shù)對應(yīng)的是9,所以兩者的結(jié)果是不一樣的。

圖片

比較操作中為何不直接使用(sum_signed_out < 4'b1001)?

這里作為對比,將比較操作語句的cons_1直接改為4'b1001;

//比較操作
    //wire signed [3:0] cons_1 = 4'b1001;
	assign compare_signed = (sum_signed_out < 4'b1001)? 1 : 0;
	assign compare_unsigned = (sum_unsigned_out < 4'b1001)? 1 : 0;

在vivado的編譯仿真器環(huán)境下輸出結(jié)果如下:

圖片

從波形可以看出,兩個比較操作都是按照無符號數(shù)進行比較,這是因為在進行比較操作時,直接把比較數(shù)寫入4'b1001,編譯器會默認該數(shù)為無符號數(shù),比較會按照無符號進行比較輸出。 所以**有符號數(shù)進行比較時加上signed,即可考慮數(shù)值正負,完成正確比較,必須兩個都要加signed,否則當作無符號進行比較。 否則只會將有符號數(shù)看作無符號數(shù)進行比較。 **

乘法運算

對于乘法運算,可以選擇使用工具中自帶的IP核,也可以使用基本的組件進行設(shè)計乘法電路。 相比加減法,乘法電路更消耗資源,一般情況下,對于信號和信號(數(shù)據(jù))之間的運算,通常調(diào)用IP進行實現(xiàn),而常數(shù)和信號直接的乘法運算,可以通過進行移位和加減法實現(xiàn)。 例如一個數(shù)乘2,等效為這個數(shù)左移一位; 一個數(shù)乘3等效為這個數(shù)左移一位+該數(shù)本身。

因為乘法運算的結(jié)果數(shù)據(jù)位數(shù)比乘數(shù)位數(shù)多,所以在實現(xiàn)乘法時,要先進行數(shù)據(jù)位數(shù)是擴展,以免出現(xiàn)數(shù)據(jù)溢出的現(xiàn)象。

除法運算

和乘法類似,可以選擇使用工具中自帶的IP核實現(xiàn)除法電路。 但是除法不可以在Verilog程序中進行直接實現(xiàn),類比乘法電路的實現(xiàn)方法,可以將除法進行分解成若干右移的小項,然后進行加減運算操作。 例如一個數(shù)除以2,則可以將該數(shù)進行右移一位; 一個數(shù)除以3,可以將該數(shù)(記該數(shù)為A)近似分解 為,A右移2位+A右移4位+A右移6位。 (相當于該數(shù)乘了0.3281),因為該數(shù)是無限小數(shù),所以對于分解法只能得到近似的結(jié)果,分解的項數(shù)越多,精度越高。 因為FPGA這些數(shù)字信號處理平臺不可避免有限字長效應(yīng)引起的。

有效數(shù)據(jù)位的計算

在FPGA中,所有的數(shù)據(jù)都是通過寄存器來存儲,使用的寄存器越多,消耗的資源也就越多。 所以為了保證硬件資源的有效利用,需要精準掌握運算中的有效數(shù)據(jù)位的長度,盡可能的減少無效數(shù)據(jù)位參與運算,浪費資源。 有效數(shù)據(jù)位表示有用的數(shù)據(jù)位,例如數(shù)據(jù)范圍為0-9,從寄存器的角度來說,只需要4個寄存器進行存儲即可枚舉所有0-9的狀態(tài),如果此時定義了5位的寄存器向量,那么多出來的那一位是無效的,任何時候都不代表任何信息

加法運算的有效數(shù)據(jù)位

對于整數(shù)加法來說,假設(shè)加法中的兩個加數(shù)最大的位數(shù)為N,則加法運算結(jié)果需要N+1位 才能保證結(jié)果不溢出。

對于小數(shù)加法來說,如果采用N+1位的數(shù)據(jù)表示運算結(jié)果,則小數(shù)點的位置在數(shù)據(jù)次高位的右邊,如果采用N位數(shù)據(jù)表示運算結(jié)果,則小數(shù)點的位置在數(shù)據(jù)最高位的右邊。 簡而言之就是,小數(shù)部分的數(shù)據(jù)位數(shù)是不變的 。 為了確保得到N+1位的準確結(jié)果,要對參加運算的兩個數(shù)進行一位符號位的拓展 。

乘法運算的有效數(shù)據(jù)位

對于數(shù)據(jù)長為M和N的數(shù)據(jù)進行乘法運算時,需要M+N位的數(shù)據(jù)才能得到準確的結(jié)果。 對于乘法運算當乘數(shù)為小數(shù)時,,不需要通過拓展位數(shù)類對齊乘數(shù)的小數(shù)點位置,乘法的結(jié)果的小數(shù)位數(shù)等于兩個乘數(shù)的小數(shù)位數(shù)之和。 對乘法進行截取時,為了保證結(jié)果正確,只能取高位,舍棄低位。 只有在兩個乘數(shù)均能表示最小負數(shù)時,才能拿出現(xiàn)最高位和次高位不同情況。 (最高位為1,其余為0),只有在這種情況下需要M+N位的數(shù)來存放結(jié)果,其他情況下,只需要M+N-1位來存放結(jié)果。

乘加法運算的有效數(shù)據(jù)位

在數(shù)字信號處理中,通常會遇到乘加運算的情況,一個典型的例子就是有限脈沖響應(yīng)(Finite Impulse Response,F(xiàn)IR)濾波器的設(shè)計。 當乘法系數(shù)是常量時,最終運算結(jié)果的有效數(shù)據(jù)數(shù)據(jù)位根據(jù)常量的大小來重新計算。 假設(shè)乘加運算的變量輸入是N位的數(shù)據(jù),乘加運算的輸出有效數(shù)據(jù)位計算如下:計算所有常數(shù)乘數(shù)絕對值之和SUM,算出SUM所占用的二進制數(shù)據(jù)位n,則乘加運算的輸出的有效數(shù)據(jù)位數(shù)為N+n。

有限字長效應(yīng)

數(shù)字信號處理的實質(zhì)是一組數(shù)值運算,這些運算可以在計算機上用軟件實現(xiàn),也可以用專門的硬件實現(xiàn)。 無論哪種實現(xiàn)方式,數(shù)字信號處理系統(tǒng)的一些系數(shù)、信號序列的各個數(shù)值及運算結(jié)果都要以二進制形式存儲在有限字長的存儲單元中。 如果存儲的是模擬信號,例如常用的采樣信號處理系統(tǒng),輸入的模擬量經(jīng)過采樣和模數(shù)轉(zhuǎn)換后,變成有限長的數(shù)字信號。 有限長的數(shù)就是有限精度的數(shù)。 因此,具體實現(xiàn)中往往難以保證原設(shè)計精度而產(chǎn)生誤差,甚至導(dǎo)致錯誤的結(jié)果。 在數(shù)字系統(tǒng)中主要有三種因有限字長而引起誤差的因素:

  1. 模數(shù)轉(zhuǎn)換器把模擬輸入信號轉(zhuǎn)換為數(shù)字信號時產(chǎn)生的量化效應(yīng)
  2. 把系數(shù)用有限位二進制表示時產(chǎn)生的量化效應(yīng)
  3. 數(shù)字運算過程中,為限制位數(shù)進行的位數(shù)處理和為防止溢出而壓縮信號電平的有限字長效應(yīng)

引起這些誤差的根本原因在于寄存器(存儲單元)的字長有限。 誤差的特性與系統(tǒng)的類型、結(jié)構(gòu)形式、數(shù)字的表示法、運算方式及字的長短有關(guān)。 在通用計算機上,字長較長,量化步很小,量化誤差不大。 但在專用硬件,如FPGA,實現(xiàn)數(shù)字系統(tǒng)時,其字長較短,就必須考慮有限字長效應(yīng)了。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • matlab
    +關(guān)注

    關(guān)注

    179

    文章

    2946

    瀏覽量

    229455
  • 數(shù)字濾波器
    +關(guān)注

    關(guān)注

    4

    文章

    265

    瀏覽量

    46909
  • 計算機
    +關(guān)注

    關(guān)注

    19

    文章

    7168

    瀏覽量

    87142
  • 數(shù)字信號處理
    +關(guān)注

    關(guān)注

    15

    文章

    539

    瀏覽量

    45690
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109712
收藏 人收藏

    評論

    相關(guān)推薦

    電信系統(tǒng)的數(shù)字信號基礎(chǔ)知識

    電信系統(tǒng)的數(shù)字信號基礎(chǔ)知識 數(shù)字信號由1和0組成。但這一陳述也有點使人迷惑本解:一個人怎 樣才能將“1”或者“0”插入到線路上去呢?跟模擬傳輸中使用的變化電平不
    發(fā)表于 12-09 13:49 ?1599次閱讀

    數(shù)字信號處理基礎(chǔ)知識

    發(fā)表于 06-03 18:07 ?20次下載

    數(shù)字信號處理基礎(chǔ)知識PPT課件

    發(fā)表于 10-09 10:13 ?0次下載

    基于FPGA數(shù)字信號處理

    基于FPGA數(shù)字信號處理,本文主要探討了基于FPGA數(shù)字信號處理的實現(xiàn)
    發(fā)表于 10-30 10:39 ?34次下載

    數(shù)字信號處理及其matlab實現(xiàn)

    數(shù)字信號處理基礎(chǔ)知識介紹 及其matlab實現(xiàn),非常好的資料
    發(fā)表于 12-21 15:02 ?25次下載

    數(shù)字信號處理的硬件實現(xiàn)

    數(shù)字信號處理知識介紹 并介紹如何通過硬件來實現(xiàn)
    發(fā)表于 12-28 14:19 ?1次下載

    數(shù)字信號處理數(shù)字濾波

    介紹數(shù)字信號處理數(shù)字濾波的相關(guān)知識 有興趣的可以參考下
    發(fā)表于 12-29 11:28 ?0次下載

    數(shù)字信號處理

    數(shù)字信號處理基礎(chǔ)知識 有需要的朋友下來看看
    發(fā)表于 12-30 15:08 ?15次下載

    數(shù)字信號處理數(shù)字濾波

    數(shù)字信號處理數(shù)字濾波知識 介紹 需要的朋友下來看看
    發(fā)表于 12-30 15:07 ?4次下載

    數(shù)字信號處理和DSP架構(gòu)的基礎(chǔ)知識及系列產(chǎn)品分析

    本視頻介紹數(shù)字信號處理和DSP架構(gòu)的基礎(chǔ)知識。此外還將介紹ADI公司的處理器DSP系列產(chǎn)品,這類產(chǎn)品進入不同細分市場和應(yīng)用的具體方式以及支持DSP系統(tǒng)開發(fā)所需的硬件和軟件工具。
    的頭像 發(fā)表于 07-08 06:06 ?4126次閱讀

    智能手環(huán)之技術(shù)應(yīng)用FFT的C程序

    本文開始要講一下FFT的C程序!同時回顧一下數(shù)字信號處理基礎(chǔ)知識!為什么呢?優(yōu)化的過程中也許會用到!后面再開始講解優(yōu)化的過程!然后還會給出針對設(shè)備優(yōu)化的思路做出的測試結(jié)果!本文還將展示本人在研究
    的頭像 發(fā)表于 11-01 15:34 ?2010次閱讀
    智能手環(huán)之技術(shù)應(yīng)用FFT的C程序

    數(shù)字信號處理知識點總結(jié)

    數(shù)字信號處理知識點總結(jié)
    發(fā)表于 08-15 15:16 ?0次下載

    使用MATLAB進行數(shù)字信號處理-第2部分

    在Arduino DSP系列的第二部分中,我們將繼續(xù)深入研究數(shù)字信號處理基礎(chǔ)知識。我們將學(xué)習(xí)數(shù)字濾波器的特性以及在MATLAB中處理
    的頭像 發(fā)表于 02-24 09:51 ?1651次閱讀
    使用MATLAB進行<b class='flag-5'>數(shù)字信號</b><b class='flag-5'>處理</b>-第2部分

    數(shù)字信號處理知識點總結(jié) 數(shù)字信號處理綜合設(shè)計

    ;  4.綜合運用數(shù)字信號處理理論知識,掌握用MATLAB軟件設(shè)計FIR和IIR數(shù) 字濾波器的方法;  5. 提高依據(jù)所學(xué)知識及查閱的課外資料來分析問題解決問題的能力。  二、課程設(shè)計內(nèi)容:  1.語音
    發(fā)表于 07-18 14:56 ?3次下載

    信號、系統(tǒng)和數(shù)字信號處理知識整理

    信號、系統(tǒng)和數(shù)字信號處理知識整理
    發(fā)表于 12-08 10:47 ?454次閱讀
    <b class='flag-5'>信號</b>、系統(tǒng)和<b class='flag-5'>數(shù)字信號</b><b class='flag-5'>處理</b><b class='flag-5'>知識</b>整理