0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA原型平臺(tái)門控時(shí)鐘自動(dòng)轉(zhuǎn)換

冬至子 ? 來(lái)源:芯播客 ? 作者:Jacky Yu ? 2023-05-23 17:38 ? 次閱讀

現(xiàn)代FPGA綜合工具會(huì)自動(dòng)執(zhí)行門控時(shí)鐘轉(zhuǎn)換,而無(wú)需更改RTL代碼中的設(shè)計(jì),然而,我們可能需要適當(dāng)?shù)厥謩?dòng)指導(dǎo)綜合工具執(zhí)行門控時(shí)鐘變換。需要注意的是,在這項(xiàng)任務(wù)中,各種綜合工具的能力是不一樣的,這是綜合工具效果的一個(gè)展示功能。

以下是使綜合工具成功轉(zhuǎn)換門控時(shí)鐘的一些簡(jiǎn)單的指導(dǎo)。

識(shí)別基準(zhǔn)時(shí)鐘,并通過(guò)添加頻率或周期約束將其定義到綜合工具中,例如vivado或者synplify。

不要將下游門控時(shí)鐘定義為時(shí)鐘。去掉在SoC設(shè)計(jì)流程中可能指定的門控時(shí)鐘的任何周期或頻率限制。

在綜合工具中設(shè)置任何必要的控件,以啟用門控時(shí)鐘轉(zhuǎn)換。

識(shí)別設(shè)計(jì)中由門控時(shí)鐘驅(qū)動(dòng)的任何黑盒。要修復(fù)驅(qū)動(dòng)黑盒的門控時(shí)鐘,必須識(shí)別黑盒的時(shí)鐘和時(shí)鐘啟用信號(hào)輸入。應(yīng)使用特定于綜合工具的指令來(lái)識(shí)別它們。

如果在時(shí)鐘門控邏輯中存在組合回路,則組合回路應(yīng)該被斷開。這可以通過(guò)插入一個(gè)通路黑盒來(lái)實(shí)現(xiàn),該黑盒是一個(gè)具有一個(gè)輸入和一個(gè)輸出的黑盒,位于組合環(huán)路路徑中,如圖所示。然后,我們可以為黑盒創(chuàng)建一個(gè)單獨(dú)的網(wǎng)表,輸出僅連接到輸入。然后,必須在放置和布線期間將為黑盒創(chuàng)建的網(wǎng)表添加到設(shè)計(jì)中。

image.png

當(dāng)遵循上述所有準(zhǔn)則時(shí),綜合工具可以自動(dòng)轉(zhuǎn)換所有可轉(zhuǎn)換門控時(shí)鐘。

當(dāng)滿足以下所有條件時(shí),門控時(shí)鐘可轉(zhuǎn)換。

1 對(duì)于選通信號(hào)的某些組合,選通時(shí)鐘輸出必須能夠被禁用。

2 對(duì)于選通信號(hào)的其余組合,選通時(shí)鐘輸出應(yīng)等于基準(zhǔn)時(shí)鐘或其反相值。

3 門控時(shí)鐘僅基于一個(gè)基準(zhǔn)時(shí)鐘導(dǎo)出。

image.png

為了使SoC設(shè)計(jì)在基于FPGA的原型驗(yàn)證平臺(tái)上可靠地工作,設(shè)計(jì)中的所有門控時(shí)鐘都應(yīng)該被轉(zhuǎn)換。如果門控時(shí)鐘是基于多個(gè)時(shí)鐘導(dǎo)出的,或者門控邏輯是復(fù)雜的,那么合成工具不能進(jìn)行門控時(shí)鐘轉(zhuǎn)換。然而,這些場(chǎng)景有時(shí)在SoC設(shè)計(jì)中很常見,這會(huì)導(dǎo)致許多設(shè)置和保持時(shí)間沖突。以下是處理這些場(chǎng)景的一些方法。如果適用,請(qǐng)共同使用所有這些方法。

如果在由基本時(shí)鐘驅(qū)動(dòng)的時(shí)序元件和未轉(zhuǎn)換的門控時(shí)鐘之間沒(méi)有路徑,則后者將不會(huì)產(chǎn)生任何跨域時(shí)序沖突。然而,它們?cè)贔PGA中的布線可能需要仔細(xì)控制,以避免上述競(jìng)爭(zhēng)。

設(shè)計(jì)中的中間節(jié)點(diǎn)可以被識(shí)別并定義為基準(zhǔn)時(shí)鐘,使得由該節(jié)點(diǎn)驅(qū)動(dòng)的門控邏輯是可轉(zhuǎn)換的。通常,SoC設(shè)計(jì)將具有時(shí)鐘生成邏輯塊crg模塊,該邏輯塊具有復(fù)雜的邏輯以生成一個(gè)理想的時(shí)鐘。該時(shí)鐘將基于許多不同時(shí)鐘之間的切換而創(chuàng)建。該生成的時(shí)鐘將用作設(shè)計(jì)中具有單獨(dú)選通邏輯的其余塊的基準(zhǔn)時(shí)鐘。在時(shí)鐘生成邏輯塊的輸出上定義時(shí)鐘將確?;谠摃r(shí)鐘創(chuàng)建的所有門控時(shí)鐘將由FPGA綜合工具轉(zhuǎn)換。

image.png

如果一個(gè)基本時(shí)鐘與其復(fù)雜門控時(shí)鐘之間存在有效的定時(shí)路徑,則嘗試手動(dòng)平衡這些路徑之間的時(shí)鐘路徑。通過(guò)在

其中一個(gè)時(shí)鐘路徑中引入饋通LUT、時(shí)鐘緩沖器、PLL和數(shù)字時(shí)鐘管理器,可以實(shí)現(xiàn)平衡。

如果仍有一些門控時(shí)鐘未被轉(zhuǎn)換,并且存在巨大的有效時(shí)序沖突,則嘗試以非常高的頻率運(yùn)行FPGA中的所有時(shí)序元件——大約是設(shè)計(jì)中最快時(shí)鐘的10倍。對(duì)于設(shè)計(jì)中的所有門控時(shí)鐘,插入相對(duì)于較快時(shí)鐘的上升沿檢測(cè)器。

該上升沿檢測(cè)器可以通過(guò)使用更快的時(shí)鐘對(duì)門控時(shí)鐘信號(hào)進(jìn)行雙重注冊(cè)(例如clk_reg1和clk_reg2),然后形成邏輯以檢測(cè)從低到高的變化(~clk_reg2和 clk_reg_1)來(lái)設(shè)計(jì),如果原始時(shí)鐘驅(qū)動(dòng)也在負(fù)邊緣上工作的FF,則也需要負(fù)邊緣檢測(cè)器電路。

image.png

在放置和路由期間,必須注意這些邊緣檢測(cè)器的布局,以避免在路徑clk_reg1和clk_reg2之間引入差分延遲。使用這些邊緣檢測(cè)器的輸出作為所有順序元件的啟用,這些順序元件最初由相應(yīng)的門控/生成時(shí)鐘驅(qū)動(dòng)。

這樣,整個(gè)FPGA由一個(gè)更快的時(shí)鐘源驅(qū)動(dòng),如圖所示。該時(shí)鐘將使用FPGA中的專用全局路由資源,因此相關(guān)的時(shí)鐘偏差將非常小,并且可以很容易地滿足時(shí)序要求。

image.png

image.png

時(shí)鐘門控在SoC設(shè)計(jì)中很常見,為了在FPGA上成功原型化SoC設(shè)計(jì),應(yīng)謹(jǐn)慎處理門控時(shí)鐘。當(dāng)受到適當(dāng)約束時(shí),現(xiàn)代FPGA綜合工具會(huì)自動(dòng)處理大多數(shù)的門控時(shí)鐘。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 時(shí)鐘緩沖器
    +關(guān)注

    關(guān)注

    2

    文章

    88

    瀏覽量

    50781
  • SoC設(shè)計(jì)
    +關(guān)注

    關(guān)注

    1

    文章

    147

    瀏覽量

    18718
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59515
  • FPGA開發(fā)板
    +關(guān)注

    關(guān)注

    10

    文章

    120

    瀏覽量

    31426
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    XOR自門控時(shí)鐘門控的不同之處

    時(shí)鐘XOR自門控(Self Gating)基本思路和時(shí)鐘門控類似,都是當(dāng)寄存器中的數(shù)據(jù)保持不變時(shí),通過(guò)關(guān)閉某些寄存器的時(shí)鐘信號(hào)來(lái)降低設(shè)計(jì)的動(dòng)
    的頭像 發(fā)表于 01-02 11:34 ?1185次閱讀
    XOR自<b class='flag-5'>門控</b>與<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>門控</b>的不同之處

    門控時(shí)鐘與多扇出問(wèn)題解決方案

    FPGA設(shè)計(jì)中,經(jīng)常會(huì)出現(xiàn)由于設(shè)計(jì)不合理產(chǎn)生的布線問(wèn)題,較為突出的一點(diǎn)就是門控時(shí)鐘和多扇出問(wèn)題。門控時(shí)鐘指的是不用
    發(fā)表于 01-12 10:40

    fpga門控時(shí)鐘問(wèn)題

    我在一個(gè)圖像項(xiàng)目里用來(lái)很多的門控時(shí)鐘來(lái)產(chǎn)生需要的時(shí)序波形,這樣程序很不穩(wěn)定,圖像晃動(dòng)很大,我猜想是不是因?yàn)?b class='flag-5'>門控時(shí)鐘太多,程序不穩(wěn)定,但是又沒(méi)有方法替代
    發(fā)表于 10-28 22:39

    FPGA時(shí)鐘門控是好還是壞?

    喜我對(duì)時(shí)鐘門控有一些疑問(wèn)。從我所讀到/學(xué)到的東西 - 時(shí)鐘門控可用于低功率fpga設(shè)計(jì)(關(guān)閉時(shí)鐘
    發(fā)表于 02-21 10:21

    高頻RFID芯片的FPGA原型驗(yàn)證平臺(tái)設(shè)計(jì)及驗(yàn)證

    。基于FPGA原型驗(yàn)證方法憑借其速度快、易修改、真實(shí)性的特點(diǎn),已經(jīng)成為ASIC芯片設(shè)計(jì)中重要的驗(yàn)證方法。本文主要描述高頻RFID芯片的FPGA原型驗(yàn)證
    發(fā)表于 05-29 08:03

    什么是時(shí)鐘門控?如何去實(shí)線時(shí)鐘門控的設(shè)計(jì)呢

    ,所以應(yīng)用有效性的開銷是最小的。有效性不僅僅是關(guān)于時(shí)鐘門控??梢哉f(shuō),它有助于是否有意義。例如,前面的CPU波形是來(lái)自TL-Verilog模型。調(diào)試變得更容易了,因?yàn)槲覀円呀?jīng)自動(dòng)過(guò)濾掉了大部分的信號(hào)值,將它
    發(fā)表于 12-19 17:09

    基于FPGA時(shí)鐘設(shè)計(jì)

    FPGA設(shè)計(jì)中,為了成功地操作,可靠的時(shí)鐘是非常關(guān)鍵的。設(shè)計(jì)不良的時(shí)鐘在極限的溫度、電壓下將導(dǎo)致錯(cuò)誤的行為。在設(shè)計(jì)PLD/FPGA時(shí)通常采用如下四種類型
    發(fā)表于 09-21 18:38 ?3666次閱讀
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>時(shí)鐘</b>設(shè)計(jì)

    WP370 -采用智能時(shí)鐘門控技術(shù)降低動(dòng)態(tài)開關(guān)功耗

    賽靈思推出業(yè)界首款自動(dòng)化精細(xì)粒度時(shí)鐘門控解決方案,該解決方案可將 Virtex-6 和 Spartan-6 FPGA 設(shè)計(jì)方案的動(dòng)態(tài)功耗降低高達(dá) 30%。賽靈思智能
    發(fā)表于 01-17 15:34 ?34次下載
    WP370 -采用智能<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>門控</b>技術(shù)降低動(dòng)態(tài)開關(guān)功耗

    低功耗時(shí)鐘門控算術(shù)邏輯單元在不同FPGA中的時(shí)鐘能量分析

    低功耗時(shí)鐘門控算術(shù)邏輯單元在不同FPGA中的時(shí)鐘能量分析
    發(fā)表于 11-19 14:50 ?0次下載

    門控時(shí)鐘

    門控時(shí)鐘的資料,關(guān)于FPGA方面的資料。有需要的可以看看
    發(fā)表于 05-10 16:31 ?11次下載

    什么是門控時(shí)鐘 門控時(shí)鐘降低功耗的原理

    門控時(shí)鐘的設(shè)計(jì)初衷是實(shí)現(xiàn)FPGA的低功耗設(shè)計(jì),本文從什么是門控時(shí)鐘、門控
    的頭像 發(fā)表于 09-23 16:44 ?1.3w次閱讀
    什么是<b class='flag-5'>門控</b><b class='flag-5'>時(shí)鐘</b> <b class='flag-5'>門控</b><b class='flag-5'>時(shí)鐘</b>降低功耗的原理

    門控時(shí)鐘實(shí)現(xiàn)低功耗的原理

    只有當(dāng)FPGA工程需要大量降低功耗時(shí)才有必要引入門控時(shí)鐘,若必須引入門控時(shí)鐘,則推薦使用基于寄存器的門控
    的頭像 發(fā)表于 07-03 15:32 ?2065次閱讀

    什么是門控時(shí)鐘?如何生成門控時(shí)鐘?

    由于門控時(shí)鐘邏輯具有一定的開銷,因此數(shù)據(jù)寬度過(guò)小不適合做clockgating。一般情況下,數(shù)據(jù)寬度大于8比特時(shí)建議采用門控時(shí)鐘。
    發(fā)表于 12-05 12:28 ?2907次閱讀

    FPGA原型平臺(tái)到底能跑多快呢?

    FPGA原型平臺(tái)的性能估計(jì)與應(yīng)用過(guò)程的資源利用率以及FPGA性能參數(shù)密切相關(guān),甚至FPGA的制程也是一個(gè)因素。
    的頭像 發(fā)表于 04-04 09:49 ?1925次閱讀

    FPGA原型驗(yàn)證系統(tǒng)的時(shí)鐘門控

    門控時(shí)鐘是一種在系統(tǒng)不需要?jiǎng)幼鲿r(shí),關(guān)閉特定塊的時(shí)鐘的方法,目前很多低功耗SoC設(shè)計(jì)都將其用作節(jié)省動(dòng)態(tài)功率的有效技術(shù)。
    的頭像 發(fā)表于 04-20 09:15 ?1076次閱讀