0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

如何在自己的電腦上跑一個UVM_demo呢?

冬至子 ? 來源:多讀點書 ? 作者:夕文x ? 2023-05-26 15:11 ? 次閱讀

1 運行前準備

1.1 系統(tǒng)要求

  • win10(理論上可以安裝下方modelsim軟件的window平臺都可以)
  • modelsim 2019.2(本次展示使用的modelsim版本為2019.2,理論上所以支持UVM1.1.的modelsim都可以)

1.2 modelsim 2019.2軟件的安裝

modelsim軟件的下載和安裝,在網上很容易搜到,例如BING搜索“modelsim 2019.2”,很容易獲得,依據相應教程安裝即可

圖片

1.3 驗證modelsim 是否安裝成功

  1. 確保添加了LECENSE,并設置好了環(huán)境變量
  2. 在cmd窗口中輸入 vsim -c 命令,出現如下箭頭指示的** ModelSim>** 輸入提示符號時,說明安裝成功。

圖片

2 UVM demo源碼的移植

2.1 獲取書籍配套源碼

圖片

  • puvm中為書籍UVM案例源碼,src目錄下存儲了《UVM實戰(zhàn)》書籍各個章節(jié)對應的源碼,這也是我們復現書籍內容真正需要的源碼。
  • uvm-1.1d中為UVM庫中的內容,用來支持UVM的使用,在安裝modelsim后,在modelsim安裝目錄下也會包含這樣一個目錄,如下圖所示,所以我們只是使用UVM的話,并不需要關心該內容。

2.2 以2.5.2節(jié)源碼為例進行移植

注意:如果復現困難,文末提供了項目源碼,可自取

  1. 將puvm\\src\\ch2\\section2.5\\2.5.2和puvm\\src\\ch2\\dut復制到同一個目錄(這里是UVM_demo)下,如圖

圖片

  1. 修改UVM_demo\\2.5.2\\filelist.f文件內容為:
../dut/dut.sv
top_tb.sv
  1. 新建UVM_demo\\2.5.2\\run_gui.bat文件,并寫入如下內容:
vlib work
vlog -f filelist.f
vsim top_tb +UVM_TESTNAME=base_test -do "run -all;exit" -l top_tb.log -voptargs=+acc
  1. 另:效率考慮,還提供了命令行運行的run_cmd.bat腳本,清理生成文件的clean.bat腳本。

3 UVM demo的運行

3.1 以gui方式運行demo

  1. 進入UVM_demo\\2.5.2\\目錄,鼠標雙擊run_gui.bat,
  2. 然后在彈出的Finish Vsim窗口點擊“否”
  3. 查看modelsim的transcript窗口,通過報告,可以看到,demo運行通過。
  4. 通過鼠標雙擊clean.bat,可以刪除生成的文件。

圖片

3.2 以命令行方式運行demo

  1. 進入UVM_demo\\2.5.2\\目錄,鼠標雙擊run_cmd.bat,
  2. 通過cmd窗口顯示的報告,可以看到,demo運行通過。
  3. 通過鼠標雙擊clean.bat,可以刪除生成的文件。

圖片

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • UVM
    UVM
    +關注

    關注

    0

    文章

    181

    瀏覽量

    19087
  • GUI系統(tǒng)

    關注

    0

    文章

    3

    瀏覽量

    5908
  • MODELSIM仿真
    +關注

    關注

    0

    文章

    15

    瀏覽量

    7264
收藏 人收藏

    評論

    相關推薦

    RISC-V SoC + AI | 在全志 D1「哪吒」開發(fā)板, ncnn 神經網絡推理框架的 demo

    的為 RISC-V 架構做過適配和優(yōu)化的神經網絡框架。 本文 是份教程,步驟騎著步驟 (step by step) 地展示了如何在塊全新的全志 D1「哪吒」開發(fā)板
    發(fā)表于 02-26 10:51

    何在電腦安裝RT系統(tǒng)?

    老板買了片FPGA,使用LabVIEW編程,一臺電腦做上位機,一臺電腦RT。請教哪里有教程在電腦安裝RT系統(tǒng)
    發(fā)表于 10-20 16:06

    何在simv sim_opts中使用uvm_set_verbosity

    -uvm_set_verbosity本文只介紹如何在simv sim_opts中使用uvm_set_verbosity。
    發(fā)表于 12-18 06:42

    數字IC驗證之“什么是UVM”“UVM的特點”“UVM提供哪些資源”(2)連載中...

    在搭建平臺結構省去了不少時間,而且在使用其他工程師提供的uvm平臺時顯得得心應手。uvm為用戶提供了標準的驗證平臺的模板,因此,所有基
    發(fā)表于 01-21 16:00

    代碼是如何在單片機上

    淺談:對于任何電子產品,硬件是軟件運行的基礎,而軟件賦予了硬件靈魂,兩者有機結合成為功能豐富、強大的系統(tǒng)。我們寫的代碼是如何在單片機上
    發(fā)表于 11-17 07:47

    何在STM32一個串口指令模式

    串口指令模式有何優(yōu)勢?如何在STM32一個串口指令模式?
    發(fā)表于 12-07 06:48

    何在自己的Windows電腦中運行l(wèi)inux系統(tǒng)

    的簡單命令3.vim4.vim的使用5.配置vim5.1基本配置5.2快捷編譯執(zhí)行5.3快捷保存退出1.嵌入式環(huán)境搭建如何在自己的Windows電腦中運行l(wèi)inux系統(tǒng)?你需要
    發(fā)表于 12-17 06:13

    何在塊全新的全志D1開發(fā)板ncnn神經網絡推理框架的demo?

    本文是份教程,步驟騎著步驟 (step by step) 地展示了如何在塊全新的全志 D1「哪吒」開發(fā)板,
    發(fā)表于 12-28 07:29

    什么是uvm?uvm的特點有哪些

    直觀的印象,就是uvm驗證平臺,它是分層的結構。圖中的每一個巨型框都代表著平臺的構成元素。這些元素,我們稱為平臺組建,下面來簡單的分析
    發(fā)表于 02-14 06:46

    LX110T的板子demo的時候遇到的些錯誤的解決辦法總結

    .前段時間在玩xilinx送我在XUPV5-LX110T,首先跑xilinx給出的XUPV5-LX110T的demo設計,結果發(fā)現遇到了些錯誤但是
    發(fā)表于 02-11 15:30 ?1957次閱讀

    何在鴻蒙系統(tǒng)ArkUI應用

    ? ? ? 大家可以看到很明顯這是 ArkUI 的應用,在遠程模擬器目前還只可以在 P40 Pro 。 ?? 其實為了這個目的,只
    的頭像 發(fā)表于 11-15 09:28 ?2744次閱讀
    如<b class='flag-5'>何在</b>鴻蒙系統(tǒng)<b class='flag-5'>上</b>弄<b class='flag-5'>一</b><b class='flag-5'>個</b>ArkUI應用

    何在xWR1xxx芯片運行mmw demo

    何在xWR1xxx芯片運行mmw demo
    發(fā)表于 11-01 08:27 ?0次下載
    如<b class='flag-5'>何在</b>xWR1xxx芯片<b class='flag-5'>上</b>運行mmw <b class='flag-5'>demo</b>

    何在ATECLOUD平臺中搭建簡單的測試demo

    ATECLOUD平臺搭建簡單的測試項目demo。 我們以測試啟動電壓的demo搭建為例。 1.?在項目維護界面點擊新增項目。 2.?在新增的項目頁面的左側可以自行添加測試名稱以及各
    的頭像 發(fā)表于 03-28 16:13 ?872次閱讀
    如<b class='flag-5'>何在</b>ATECLOUD平臺中搭建<b class='flag-5'>一</b><b class='flag-5'>個</b>簡單的測試<b class='flag-5'>demo</b>

    我的第二UVM代碼—連接interface

    寫testbench最最核心的部分就是發(fā)激勵,今天用簡單的示例來介紹如何在uvm里給RTL發(fā)激勵。
    的頭像 發(fā)表于 06-15 10:51 ?1382次閱讀
    我的第二<b class='flag-5'>個</b><b class='flag-5'>UVM</b>代碼—連接interface

    有沒有辦法像debug RTL代碼樣將UVM中變量拉到波形?

    我們常用的debug UVM的方法是通過打印log實現。有沒有辦法像 debug RTL代碼樣將 UVM 中變量拉到波形?答案是有的
    的頭像 發(fā)表于 06-29 15:14 ?1210次閱讀
    有沒有辦法像debug RTL代碼<b class='flag-5'>一</b>樣將<b class='flag-5'>UVM</b>中變量拉到波形<b class='flag-5'>上</b>看<b class='flag-5'>呢</b>?