0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Verilog端口連接規(guī)則

冬至子 ? 來源:行走的BUG永動機(jī) ? 作者:行走的BUG永動機(jī) ? 2023-05-26 16:42 ? 次閱讀

端口

端口是模塊與外界交互的接口,對外部環(huán)境而言,模塊內(nèi)部是不可見的,對模塊的調(diào)用只能通過端口連接進(jìn)行

端口基本語法約定如下:

端口必須被聲明

端口聲明不可重復(fù)

端口聲明既可在端口列表內(nèi)也可在列表外

模塊間的數(shù)據(jù)只能通過端口進(jìn)行

端口聲明

根據(jù)端口的方向,端口類型有3種:輸入(input)、輸出(output)和雙向端口(inout)

  • input 和 output 只能是wire
  • output 既可以是 wire 也可以是 reg
    • 需要保存數(shù)值時,用reg
    • 不需要保存數(shù)值時,用wire

reg類型是用于保存數(shù)值的,而輸入端只能反映與其相連的外部信號的變化,并不能保存這些信號的值

端口連接規(guī)則

1.jpg

對于inpuoutput我是這樣理解的,內(nèi)部是reg外部就應(yīng)該是wire

就比如input這一端,外部是reg,內(nèi)部是wire,在這個一端,已經(jīng)有一個reg類型可以用來保存數(shù)據(jù),不需要用到兩個reg來保存數(shù)值

端口連接方式

端口連接的方式有兩種:按位置連接按名稱連接

  1. 按位置連接
    調(diào)用模塊的端口名必須與被調(diào)用模塊端口列表中的位置保持一致
    //調(diào)用模塊
    module name(……);
        //端口定義
        //端口描述
    
    
        /*a, b, c, d分別對用調(diào)用模塊
           中的in1, in2, sel, dout*/
        mux u1(a, b, c, d);
    
    endmodule
    
    
    //調(diào)用模塊
    module mux (in1, in2, sel, dout);
        //端口定義
        //端口描述
        //邏輯描述
    endmodule
    
  2. 按名稱連接
    格式為:
    模塊名 模塊實例化名 (.被調(diào)用模塊端口名(調(diào)用模塊端口名));
    其中,模塊實例化名 是自己隨意定的一個名字,方便記憶就好
    //調(diào)用模塊
    module name(……);
        //端口定義
        //端口描述
    
        //模塊調(diào)用,也叫實例化
        //對應(yīng)方式和上一個相同
        mux u1(.in1(a), .in2(b), .sel(c), .dout(d));
    endmodule
    
    //被調(diào)用模塊
    module mux(in1, in2, sel, dout);
        //端口定義
        //端口描述
        //邏輯描述
    endmodule
    
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog設(shè)計
    +關(guān)注

    關(guān)注

    0

    文章

    20

    瀏覽量

    6501
  • verilog接口
    +關(guān)注

    關(guān)注

    0

    文章

    2

    瀏覽量

    4487
收藏 人收藏

    評論

    相關(guān)推薦

    使用Verilog/SystemVerilog硬件描述語言練習(xí)數(shù)字硬件設(shè)計

    在實例化模塊時,使用Verilog時有兩種常用的方式來進(jìn)行模塊端口的信號連接:按端口順序以及按端口名稱
    的頭像 發(fā)表于 09-08 09:04 ?1463次閱讀

    SDI_OUT端口與屏幕連接后顯示噪音該怎么辦?

    mvisdi_viodc_2VP7.bit從'viodc_sdi_verilog \ Bit Files'目錄復(fù)制到fpga芯片。但它不起作用。板的SDI-IN端口與視頻信號連接,SDI_OUT
    發(fā)表于 08-14 07:15

    Verilog 模塊與端口

    :輸入、輸出端口可以采用向量的方式表示,例如:4輸入端a0,a1,a2,a3,與4輸入端b0,b1,b2,b3, 一一對應(yīng)相與,其結(jié)果賦給對應(yīng)的c0,c1,c2,3;Verilog 的描述如下
    發(fā)表于 07-23 23:08

    如何把硬件不規(guī)則端口IO映射到一個規(guī)則的軟件端口中?

    如何把硬件不規(guī)則端口IO映射到一個規(guī)則的軟件端口中?
    發(fā)表于 10-15 09:25

    Verilog代碼命名六大黃金規(guī)則

    電子發(fā)燒友網(wǎng)核心提示: 關(guān)于Verilog代碼中命名的六大黃金規(guī)則。 1. 系統(tǒng)級信號的命名。 系統(tǒng)級信號指復(fù)位信號,置位信號,時鐘信號等需要輸送到各個模塊的全局信號;系統(tǒng)信號以字
    發(fā)表于 09-04 14:40 ?5989次閱讀

    模塊和端口介紹

    verilog的相關(guān)學(xué)習(xí)和介紹,主要介紹模塊和端口
    發(fā)表于 11-10 10:21 ?0次下載

    Verilog HDL的基礎(chǔ)知識詳細(xì)說明

    硬件描述語言基本語法和實踐 (1)VHDL 和Verilog HDL的各自特點和應(yīng)用范圍 (2)Verilog HDL基本結(jié)構(gòu)語言要素與語法規(guī)則 (3) Verilog HDL組
    發(fā)表于 07-03 17:36 ?53次下載
    <b class='flag-5'>Verilog</b> HDL的基礎(chǔ)知識詳細(xì)說明

    verilog端口類型有哪三種_verilog語言入門教程

    本文主要闡述了verilog端口的三種類型及verilog語言入門教程。
    發(fā)表于 08-27 09:29 ?1.1w次閱讀

    Verilog系統(tǒng)函數(shù)和邊沿檢測

    “ 本文主要分享了在Verilog設(shè)計過程中一些經(jīng)驗與知識點,主要包括Verilog仿真時常用的系統(tǒng)任務(wù)、雙向端口的使用(inout)、邊沿檢測”
    的頭像 發(fā)表于 03-15 13:34 ?2113次閱讀

    System Verilog的概念以及與Verilog的對比

    Verilog模塊之間的連接是通過模塊端口進(jìn)行的。 為了給組成設(shè)計的各個模塊定義端口,我們必須對期望的硬件設(shè)計有一個詳細(xì)的認(rèn)識。 不幸的是,在設(shè)計的早期,我們很難把握設(shè)計的細(xì)節(jié)。 而且
    的頭像 發(fā)表于 06-12 10:05 ?1212次閱讀

    Verilog實現(xiàn)講解

    verilog第一步肯定需要將輸入輸出端口,常量等信息補齊全;
    的頭像 發(fā)表于 06-16 09:52 ?539次閱讀
    <b class='flag-5'>Verilog</b>實現(xiàn)講解

    SWD端口無法連接如何排查

    大家在調(diào)試GD32 MCU的時候是否也碰到過SWD調(diào)試端口無法連接的情況?SWD端口無法連接的原因有很多,有時候排查沒有思路,可能會耽誤大家的時間,下面小編為大家介紹常見的SWD無法
    的頭像 發(fā)表于 01-10 10:46 ?1921次閱讀
    SWD<b class='flag-5'>端口</b>無法<b class='flag-5'>連接</b>如何排查

    verilog雙向端口的使用

    Verilog硬件描述語言中,端口是指連接模塊(Module)與其他模塊、寄存器或是物理設(shè)備的輸入或輸出接口。單向端口可以作為輸入或輸出使用,而雙向
    的頭像 發(fā)表于 02-23 10:18 ?978次閱讀

    verilog調(diào)用模塊端口對應(yīng)方式

    Verilog是一種硬件描述語言(HDL),廣泛應(yīng)用于數(shù)字電路設(shè)計和硬件驗證。在Verilog中,模塊是構(gòu)建電路的基本單元,而模塊端口對應(yīng)方式則用于描述模塊之間信號傳遞的方式。本文將介紹
    的頭像 發(fā)表于 02-23 10:20 ?1084次閱讀

    verilog端口類型有哪三種

    Verilog 中,端口類型有三種:輸入端口(input)、輸出端口(output)和雙向端口(inout)。 輸入
    的頭像 發(fā)表于 02-23 10:28 ?1361次閱讀